当前位置:文档之家› 汽车尾灯课程设计--汽车LED尾灯的控制器电路

汽车尾灯课程设计--汽车LED尾灯的控制器电路

汽车尾灯课程设计--汽车LED尾灯的控制器电路
汽车尾灯课程设计--汽车LED尾灯的控制器电路

目录

一、设计课题任务和要求 (2)

二、总体方案选择的论证 (2)

三、单元电路的设计 (4)

四、总体电路图、功能单元电路图 (6)

五、组装与调试 (9)

六、所设计电路的特点以及改进意见 (11)

七、所用元器件的编号列表 (11)

八、参考文献 (11)

九、收获、体会和建议 (12)

十、附录 (12)

一、设计课题任务和要求

本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。

当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。

当接通刹车电键时,汽车所有的尾灯点亮。

当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。

二、总体方案选择的论证

为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

开关控制

汽车状态左尾灯D1、D2、D3 右尾灯D4、D5、D6 K1 K2 K3 K4

0 1 1 1 左转弯按D3、D2、D1顺

序循环点亮

熄灭

1 0 1 1 右转弯 熄灭 按D4、D5、D6顺序

循环点亮

1 1 0 1 刹车 同时点亮 同时点亮 1

1

1

检查

同时闪烁

同时闪烁

表1 汽车尾灯和汽车运行状态

在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP 之间关系的功能表如表2所示(表中指示灯的状态“1”表示点亮,“0”表示熄灭)。

开关 计数器状态 汽车尾灯状态

K1 K2

K3

K4

Q1 Q0 D1 D2 D3 D4 D5 D6

0 1 1 1

0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0

1 0 1 1 0 0 0 0 0 1 0 0 0

1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1

1

1

-

-

CP

CP

CP

CP

CP

CP

表2 汽车尾灯控制器功能表

根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

图1 汽车尾灯控制器的结构框图

三、单元电路的设计

3.1 秒脉冲电路的设计

由555定时器构成的多谐振荡器。由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。

根据多谐振荡器周期表达式)C 2R 0.7(R T 21+=,可取40K ΩR 1=、

Ω=50K R 2、F 10C μ=,可使T 1≈S 。

3.2 三进制计数器电路的设计 三进制计数器的状态表如下表所示。

三进制计数器的状态表

现态

次态

Q1 Q0 Q1 Q0 0 0 0 1 0 1 1 0 1

上表状态所对应的驱动方程为:

n 0

Q D1= n

n Q Q D 100=

由D触发器构成的三进制计数器:两个D触发器可由一片双D触发器74LS74芯片实现,以及74LS00与非门和74LS04非门来实现此电路。

3.3开关控制电路的设计

设译码器与显示驱动电路的使能控制信号为G1和F,G1与译码器74LS138的使能输入端G1相连接,F与显示驱动电路中与非门的一个输入端相连接。由总体逻辑功能可知,G1和F与开关控制变量,K1、K2以及时钟脉冲CP之间的关系如表3所示。

开关控制时钟

脉冲

使能信号控

制端电路工作状态

K1 K2 K3 K4 CP C G1 F

0 1 1 1 - 0 1 1 汽车左转弯行驶(此时译码器在计数器控制下工作,显示驱动电路中的与非门输出取决于译码器输出,左侧尾灯D1、D2、D3在译码器输出作用下顺序循环点亮)

1 0 1 1 - 1 1 1 汽车右转弯行驶(此时译码器在计数器控制下工作,显示驱动电路中的与非门输出取决于译码器输出,右侧尾灯D4、D5、D6在译码器输出作用下顺序循环点亮)

1 1 0 1 - - 0 0 汽车刹车(此时译码器不工作,译码器输出全部为高,显示驱动电路中的与非门输出均为高,反相器输出均为低,尾灯全部点亮)

1 1 1 0 CP - 0 CP

汽车检查(此时译码器不工作,译码器

输出全部为高,时钟脉冲cp通过显示驱

动电路中的与非门作用到反相器输出

端,使左右两侧的指示灯在时钟脉冲cp

作用下同时闪烁)

表3 使能控制信号与开关控制变量、时钟脉冲的关系

3.4译码及显示驱动电路设计

译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138、6个与非门和6个反相器构成。图中,译码器74LS138的输入端C、B、A分别接C、Q1、Q0。当图中G1=F=1、C=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D3、D2、D1对应的反相器输出依次为低电平,从而使指示灯D3、D2、D1依次顺序点亮,示意汽车左转弯;当图中G1=F=1、C=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车右转弯;当图中G1=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G1=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。

四、总体电路图、功能单元电路图

4.1 电路仿真总电路图

4.2.1 秒脉冲电路

4.2.2 三进制计数器电路4.2.3 开关控制电路

4.2.4 译码及显示驱动电路

五、组装与调试

电子电路的组装与调试在电子设计技术中占有重要位置。它是对理论设计进行检验、修改和完善的过程,任何一个新产品往往都是在安装、调试并反复改多次方能最终完成。

①电子电路的组装

组装电路通常采用焊接和在面包板上插接两种方法,无论采用哪种方法均应注意以下几方面。

a、所有元器件在组装前应尽可能全部测试一遍,以保证所用元器件均合格。

b、所有集成电路的组装方向要保持一致,以便于正确布线和查线。

c、组装分立元件时应使其标志朝上或朝向易于观察的方向,以便于查找和更换。对于有极性的元件,例如电解电容器、晶体二极管等,组装时一定要特别注意,切匆搞错。

d、为了便于查线,可根据连接线的不同作用选择不同颜色的导线。一般习惯是正电源用红色线、负电源用蓝色线、地线用黑色线、信号线用黄色线等。

e、连线尽量做到横平竖直。连线不允许跨接在集成电路上,必须从其周围通过。同时应尽可能做到连线不互相重叠、不从元器件上方通过。

f、为使电路能够正常工作与调测,所有地线必须连接在一起,形成一个公共参考点。

正确的组装方法和合理的布局,不仅可使电路整齐美观、工作可靠,而且便于检查、调试和排除故障。如果能在组装前先拟订出组装草图,则可获得事半功倍之效果,使组装既快又好。

②电子电路的调试

调试是指系统的调整、改进与测试。测试是在电路组装后对电路的参数与工作状态进行测量,调整则是在测试的基础上对电路的某些参数进行修正,使满足设计要求。

在进行调试前应拟订出测试项目、测试步骤、调试方法和所用仪器等,做到心中有数,

保证调试工作圆满完成。

(1)调试方法

调试方法原则有两种。第一种是边安装边调试的方法。它是把复杂的电路按原理框图上的功能分成单元进行安装和调试,在单元调试的基础上逐步扩大安装和调试的范围,最后完成整机调试。这种方法一般适用于新设计的电路。

第二种方法是在整个电路全部焊接完毕后,实行一次性调试。这种方法一般适用定型产品和需要相互配合才能运行的产品。

(2)调试步骤

a、通电前检查

电路安装完毕后, 不要急于通电,首先要根据原理电路认真检查电路接线是否正确,包括错线(连线一端正确、另一端错误),少线(安装时漏掉的线),多线(连线的两端在电路图上都是不存在的)和短路(特别是间距很小的引脚及焊点间),并且还要检查每个元件引脚的使用端数是否与图纸相符。查线时最好用指针式万用表“Ω×1”档, 或用数字万用表“Ω”档的蜂鸣器来测量,而且要尽可能直接测量元器件引脚,这样同时可以发现接触不良的地方。

b、通电观察

在电路安装没有错误的情况下接通电源(先关断电源开关,待接通电源连线之后再打开电路的电源开关)。但接通电源后不要急于测量,首先要充分调动眼、耳、鼻、手观察整个电路有无异常现象,包括有无冒烟,是否有异常气味,是否有异声,手摸器件是否发烫,电源是否有短路和开路现象等。如果出现异常,应该立即关掉电源,故障排除后方可重新通电。然后再按要求测量各元器件引脚电源的电压,而不只是测量各路总电源电压,以保证元器件正常工作。

c、单元电路调试

在调试单元电路时应明确本部分的调试要求。调试顺序按信号流向进行,这样可以把前面调试好的输出信号作为后一级的输入信号。

单元调试包括静态和动态调试。静态调试一般是指在没有外加信号的条件下测试电路各点的电位,特别是有源器件的静态工作点。通过它可以及时发现已经损坏和处于临界状态的元器件。动态调试是用前级的输出信号或自身的信号测试单元的各种指标是否符合设计要求,包括信号幅值、波形形状、相位关系、放大倍数和频率等。对于信号产生电路一般只看动态指标。把静态和动态测试的结果与设计的指标加以比较,经深入分析后对电路与参数提出合理的修正。在调试过程中应有详尽记录。

d、整机联调

各单元电路调试好以后,并不见得由它们组成的整机性能一定会好,因此还要进行整机调试。整机调试主要是观察和测量动态性能,把测量的结果与设计指标逐一对比,找出问题及解决办法,然后对电路及其参数进行修正,直到全机的性能完全符合设计要求为止。

③故障诊断方法

整机出现故障后,首先应仔细观察有无元器件出现过热痕迹或损伤情况,有无脱焊、短路、断脚和断线情况。然后采用静态查找和动态查找法。

静态查找法就是用万用表测量元器件引脚电压、测量电阻值、电容漏电以及电路是否有断路或短路情况等。大多数故障通过静态查找均可诊断出结果。当静态查找仍不能发现故障原因时,可采用动态查找法。

动态查找法是通过相应的仪器、仪表在电路加上适当信号的情况下测量电路的性能指标、元器件的工作状态。由获得的读数和观察到的波形准确、迅速地查找到故障发生的部位及产生的原因。

为加快查找故障点的速度,提高故障诊断效率,除了前面提到的静态查找和动态查找法,

其它常用的方法有:

(1)直观判断法

用它可找出断线、虚焊、元器件烧焦、器件温升过高和高压打火等故障;

(2)断路法

即把可疑部分从电路中断开,使之不影响其它部分的工作,若此时故障消失,往往故障发生在被断开的电路中;

(3)短路法

适用与多级电路,用短路方法消除故障电路对下一级的影响(当短路两点直流电位不同时应通过电容隔直);

(4)替代法

将已调好的单元组件,替代疑为故障的单元组件,可判定可疑单元是否确有故障。

此法在集成片组成的电路中常用,用好的集成片替代疑有故障的集成片,若此时故障现象消除,则说明该集成片确有故障。

具体操作时可视不同情况分别选用。

六、所设计电路的特点以及改进意见

电路特点:该电路结构清晰,便于调试;

放光二极管采用共阳极接法,由外部电源驱动。

改进意见:不使用138译码器,而使用3个D出发器输出循环信号,这样可以减少使用芯片;

改用JK出发器,可以减少与非门和非门芯片的使用。

七、所用元器件的编号列表

序号名称型号与规格数量

1 3-8译码器74LS138 1

2 D触发器74LS74 1

3 555定时器NE555 1

4 与非门74LS00 1

5 非门74LS04 3

6 或门74LS32 2

7 定值电阻200Ω10

40KΩ 1

8 滑动变阻器50KΩ 1

9 陶瓷电容0.01μF 1

10 电解电容10μF 1

11 开关 4

12 导线若干

八、参考文献

[1].余孟尝数字电子技术基础简明教程北京高等教育出版社2006

[2].从宏寿程卫群李绍铭Multisim8仿真与应用实例开发北京清华大学出版社

2006

九、收获、体会和建议

在经过了一周的忙碌后,我们的课程设计终于接近了尾声。回顾一周的时间,我有几点感想。

首先是我们的理论和实践脱节。我在刚打开这次课程设计的辅导讲义的时候,看着设计要求和提供的芯片,我脑中一片空白,不知道从何下手,甚至不知道有些芯片的作用。我只好一步步地开始查资料,渐渐地我有了些眉目,网络上的一些范例给了我很大帮助,让我一下子明白各个器件在这次课程设计中的用途。欣喜之余,这又让我反思,我们的应用实践能力很差,如果没有这样相似的网络资源,我们竟会举步维艰,我们缺乏实践的能力。

然后是面对困难我们需要勇气和执着。如果缺乏勇气不能在挫折面前我们便会不知所措,不愿有所作为,这样是不会有进展的。上面提到一开始我不知道从何下手,但是查阅了一些资料已有便能渐渐理清思路。另外还想提一下的就是Multisim的使用,之前我们只是在信号与系统的设计中使用过一次EWB,所以对multisim很不熟悉,但是摸索一段时间,我们还是能用用它来进行仿真设计的。当然光有勇气还是不够的,这可以给我们一个好的开端,但是要完整地走下去,我们还是需要执着地付出。我们组这次的前期设计过程是这样的:先是看懂范例,然后是设计自己的电路,最后仿真调试。这三个环节我们都花费了不少时间。这次的课程设计主要是关于数字电路的知识,我们已经结课一年多了,很多东西都忘记了,譬如多谐震荡器的原理,其周期的计算,D触发器的原理等。这时我们只能静下心来复习,把电路的各个部分原理弄清楚。看懂范例后我们明白了电路的各个模块的作用和原理,但是我们的设计要求和范例和范例是有区别的,这需要我们设计自己的方案,我们这次的难点是控制电路的设计。在反复地尝试多次以后,我们终于找到了可行的方案。最后就是调试了,电路设计好后,我们在软件上仿真失败了——灯不闪烁,我们通过示波器一步步检测,发现了一个个问题。

最后一点感受是做事要细心,这主要是在实验室调试过程中的体会,我们在调试电路的时候,发现在连线中存在很低级的错误,譬如有两个引脚我们竟然漏连了,虽然我们及时地发现了,但是这样的错误是值得我们反思的。

以上是我这次课程设计的三点感想,回想整个设计过程,觉得还是蛮辛苦的,但是重要的是这样的经历提高了我们的实践能力,学了不少的东西,我想这便是课程设计的意义所在。

十、附录

10.1秒脉冲电路输出波形

10.2 计数器电路输出波形

10.3开关控制电路三个输出端口信号波形

K1闭合时(左转弯)

C信号波形图

G1信号波形图

F信号波形图

K2闭合时(右转弯)

C信号波形图

G1信号波形图

F信号波形图K3闭合时(刹车)

G1信号波形图

F信号波形图

K4闭合时(检查)

G1信号波形图

F信号波形图10.4不同开关状态下所对应的发光二级管阴极电平波形

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

数电课程设计报告 汽车尾灯控制电路设计

数字逻辑电路课程设计 一、设计要求 1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟); 2、汽车正常运行时指示灯全灭; 3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮; 4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮; 在临时刹车时,所有指示灯同时闪烁。 二、总方案设计 (1)列出尾灯与汽车运行状态表如下:

(2)设计总框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S i、s o、CP、Q o) 的关系,即逻辑功能表如下表所示: 由上表得出在总体框图如下

(3)设计单元电路 三进制计数器电路 由74LS161构成如下所示: = 10riF 译码电路 由3-8先译码器74LS138和6个与非门构成。74LS138的三个输入端 A 2、A i 、A 。分别接Q i 、Q °,而Q i 、Q °是三进制计数器的输出端。 当S i =0、使能信号A=G=1,计数器的状态为00, 01, 10时;74LS138 对应的输出端Y 。,Y i ,丫2依次为0有效(Y 3,丫4,丫5信号为“1” 无效),即反相器G i ~G 3的输出端也依次为0,故指示灯D i ^D 2T D 3 按顺序点亮,示意汽车右转弯。若上述条件不变,而S i =i ,则74LSi8 对应的输出端丫4、 丫5、丫6依次为0有效,既反相器G 4~G 6 的输出端为0,故指示灯按D 4F5TD 6顺序点亮,示意汽车左转弯。 VCC SY wkn 555 VIRTUAL R11 R 1 5 V C

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

汽车尾灯控制电路

汽车尾灯控制电路 设计者:

汽车尾灯控制电路 内容摘要 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 一、设计内容及要求 本课题设计一个汽车尾灯的控制电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯同时闪烁。 当接通检查电键时,汽车所有的尾灯点亮。 二、电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 三、系统方案的选择 在设计本电路时,一共考虑过三种方案。这三种方案的不同点在于产

生001、010、100三种信号的方法不同。下面简单的介绍一下这三种方案: 第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。0 设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。 则 经过 ) ()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。 电路图如下: 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 第二种方案:通过74LS194移位寄存器来产生001、010、100的三种

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

汽车尾灯控制电路设计及仿真报告

汽车尾灯控制电路设计及仿真报告 小组成员: 题目要求: 3.55 小汽车左、右两侧各有3只尾灯,当汽车左转弯时,左侧的尾灯按下表所示方式周期性亮灭;右转弯时,右侧尾灯也按此规律变化;当汽车制动停车时,6只尾灯同时亮;若在转弯前制动,则表示转弯的尾灯正常动作,另一侧的尾灯全亮。试设计上述功能的小汽车尾灯控制电路。 Present State Next State A B C A B C 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 0 0 0 1 1 1 *1表示灯亮,0表示灯灭。 功能分析: (Ⅰ) 根据题目要求,设计电路的输入端有三个,为TL、TR、ST, 分别代表左转弯、右转弯及制动(约定三个输入为1时,代表发出上述信号)。输出端有六个,A L、B L、C L代表左侧3个尾灯,A R、B R、C R代表右侧3个尾灯(约定1表示灯亮,0表示灯灭),经观察发现,两侧A、C尾灯在任何状态下亮灭状态同步,所以这两个灯可以用一个输出信号控制。 (Ⅱ) 车尾灯的状态可以根据输入信号分为两类。 一是转向信号和制动信号只有其中之一作用(由实际情况可知,左转弯,右转弯信号不可同时发出,即TL、TR、ST三者只有一个为1,其余为0),此类型对应的实际情况包含三种,分别为左转弯,右转弯和刹车制动。当只发出一侧的转弯信号时,该侧车尾灯呈现如题目要求的循环亮灭;当只发出制动信号时,两侧车尾灯全部点亮。 二是转向信号和制动信号两者同时起作用,此类型对应的实际情况包含两种,分别为直行和转弯(前)制动。当转向信号和制动信号都没有发出时,即TL、TR、ST均为0时,所有尾灯均熄灭。当转向信号其一和制动信号同时发出时,发出转向信号的一侧车尾灯呈现如题目要求的循环亮灭,另一侧车尾灯全部点亮。 关于转弯前制动,题目中并没有明确说明此时的输入信号是怎样的情况,经小组讨论,我们一致决定,转弯前制动代表转向信号其一和制动信号同时发出。 根据上述功能分析,设计电路的功能表如下表所示。 类别输入信号输出信号

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

《汽车尾灯控制电路设计与仿真》毕业设计荐--开题报告.doc

《汽车尾灯控制电路设计与仿真》毕业设计 开题报告 学生姓名曾xx 专业班级汽电01 课题名称汽车尾灯的控制电路设计与仿真指导教师唐xx 本课题要解决的主要问题,解决途径和主要方法: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案 6论文定稿,答辩 毕业设计进度计划: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案 6完成论文初稿 7论文定稿,答辩 指导教师意见 年月日教研室意见

年月日 湖南机电职业技术学院毕业设计指导教师评价表论文题目汽车尾灯控制电路设计与仿真 专业汽车电子技术班级汽电01 学生 姓名曾祥云 检查 次数评分标准分值得分总分 开题 检查设计文献、资料、工具等准备完成及时 50 完成开题报告完成及时审查合格 50 第二次 检查按时作息,设计认真主动 20 设计进度基本符合预定计划 20 总体设计方案基本可行, 20 完成草图绘制和方案框架文本 40 第三次 检查基本完成除说明书外的毕业设计任务 40 设计方案正确、资料规范,作品基本完成 20 40 第四次 检查完成所有毕业设计任务 40 毕业设计方案正确、资料完整、修改及时。 40

毕业设计文档按照规范要求完成定稿,装订、打印符合规范要求 20 合计(第一次×20%+第二次×20%+第三次×30%+第四次×30%) 教师评语 注:表中考核标准仅供参考,各专业可根据自己的特点设定具体考核标准。 2017-03- 学生姓名曾xx 专业班级汽电01 课题名称汽车尾灯的控制电路设计与仿真指导教师唐xx 本课题要解决的主要问题,解决途径和主要方法: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案 6论文定稿,答辩 毕业设计进度计划: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案

汽车尾灯控制器设计——完整版

1、Proteus简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PC B设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、H C11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。

1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真; 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等; 生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动; 高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析; 1.2.3 独特的单片机协同仿真功能(VSM) 支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU类型随着版本升级还在继续增加,如即将支持CORTEX、DSP处理器; 支持通用外设模型:如字符LCD模块、图形LCD模块、LED点阵、LED七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM口物理接口模型)还可以使仿真电路通过PC机串口和外部电路实现双向异步串行通信; 实时仿真:支持UART/USART/EUSARTs仿真、中断仿真、SPI/I2C仿真、MSSP 仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真; 编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、AV

相关主题
文本预览
相关文档 最新文档