当前位置:文档之家› 电子技术课程设计 汽车尾灯

电子技术课程设计 汽车尾灯

电子技术课程设计 汽车尾灯
电子技术课程设计 汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现

班级:计算机

学号:

姓名:

指导教师:

设计时间:

摘要

进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。

本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。

关键词:计数器,译码器,555定时器,逻辑门等

目录

摘要 (2)

第1章概述 (4)

第2章课程设计任务及要求 (5)

2.1 设计任务 (5)

2.2 设计要求 (5)

第3章系统设计 (7)

3.1 方案论证 (7)

3.2 系统设计 (7)

3.2.1 结构框图及说明 (7)

3.2.2 系统原理图及工作原理 (8)

3.3 单元电路设计 (9)

3.3.1 单元电路工作原理 (9)

3.3.2 元件参数选择 (12)

第4章软件仿真 (13)

4.1 仿真电路图 (13)

4.2 仿真过程 (13)

4.3 仿真结果 (14)

第5章安装调试 (20)

5.1 安装调试过程 (20)

5.2 故障分析 (20)

第6章结论 (21)

第7章使用仪器设备清单 (21)

参考文献 (21)

收获、体会和建议 (22)

第1章概述

随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。

对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

以上三项要求,我们设计了相应的模块。用555实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路(74LS138,74LS20D芯片)和开关控制电路(74LS04,74LS08,74LS32芯片)实现汽车尾灯与汽车行驶状态之间的对应;通过计数器电路(74LS161芯片)实现汽车尾灯依次并循环显示。在实践大型综合实验过程中,要尽量进行仿真操作以及模块化设计的思路。

本设计涉及对汽车尾灯的控制,为了演示整个控制效果,这里用3个发光二极管模拟汽车尾灯,用三个个开关作为控制信号分别完成基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。

第2章课程设计任务及要求

2.1 设计任务

设计一个汽车尾灯控制电路,实现对汽车尾灯显示状态的控制。

1.基本设计任务

设计汽车尾部有左、右两侧各3个指示灯(用发光二极管模拟),根据汽车运行情况,设计电路满足指示灯以下五种不同的状态:

(1)汽车正常行驶时,汽车尾部左右两侧的指示灯不亮状态;

(2)汽车右转弯行驶时(同时启动计时系统),右侧3个指示灯按右循环顺序点亮,左侧的指示灯全灭;

(3)汽车左转弯行驶时(同时启动计时系统),左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭;

(4)汽车临时刹车时,所有指示灯同时处于闪烁状态。

(5)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁。同时,CP时钟为利用555定时器设计实现的秒脉冲。

2.扩展设计任务

(1)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮;左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮。

(2)用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左转弯、

右转弯刹车、左转弯刹车和倒车等功能。

(3)结合汽车尾灯的实际应用,添加自主设计。

2.2 设计要求

按照设计任务提出设计方案,并完成原理图设计、模拟仿真,按要求完成设计报告。

1.理论设计部分

(1)独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。

(2)画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。

(3)系统中包含的中、小规模集成电路的种类至少在六种以上。

2.模拟仿真

(1)根据理论设计用Multisim 13在计算机上进行仿真。验证所设计方案的正确性。(2)分析电路的工作原理,写出仿真报告。

3.安装调试部分

(1)实现给定的小型数字系统,并进行单元测试和系统调试。完成系统功能。

(2)若系统出现故障,排除系统故障,分析并记录系统产生故障的原因,并将此部分内容写在报告中。

第3章系统设计

3.1 方案论证

汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示、驱动电路构成。

首先设置三个可控制开关,有000,001,010,011,100,101,110,111这8种状态,其中000表示汽车正常行驶,001表示汽车左转,010表示汽车右转,011表示临时刹车,100表示汽车倒车,101汽车左转弯刹车,110汽车右转弯刹。用一个3-8线译码器连在三个开关上用来控制着7种状态。译码电路由于汽车左转,右转时三个指示灯循环点亮,所以用三进制计数器(74LS161)控制译码器电路顺序输出低电平,用74LS138以及6个或门控制指示灯循环亮。显示驱动电路主要由6个LED灯和6个四输入与非门控制。3.2 系统设计

3.2.1 结构框图及说明

图3-1 汽车尾灯设计系统结构框图

汽车尾灯设计系统结构框图如图3-1所示,开关控制电路由三个开关和一个三线八线译码器74LS138构成,用来控制电路的7种状态,计数器74LS161完成三进制计数,和555定时器共同控制指示灯亮的顺序和时间,译码电路由一个三线八线译码器74LS138和六个或门74LS32D构成,与开关控制电路共同控制显示驱动电路,这个电路由6个指示灯和6个与非门74LS20D构成。

3.2.2系统原理图及工作原理

图3-2 系统原理图

工作原理:555定时器发出适当的脉冲信号,由计数器74LS161的CP端接收,进而实现三进制计数,使译码器74LS138的输入只有三种状态,每种状态对应两个灯(左和右),左右的区分由开关控制系统,74LS138对应的状态来控制。因为74LS138是低有效,所以某个状态有效时,该状态输出的低电平与译码系统的低有效或,输出低电平,最后与非使灯亮,否则当存在某一个状态是高有效时灯不亮,此时已经完成了左转右转的功能。刹车时所有指示灯同时处于闪烁状态,所以用一个或门,两个输入分别为开关控制系统的74LS138的 3状态的输出,和定时器CP输出。倒车时左右各有一个指示灯随CP时钟脉冲同步闪烁,和刹车的原理相同,只不过刹车将六个灯连在一起而倒车将左右某两个对称指示灯灯接在一起,左转弯刹车时右侧指示灯灯全亮,左侧指示灯左循环亮,把右侧三个灯的与非门连在5状态的输出就能保证5状态有效时全亮,左侧的循环亮则要与1左转弯状态结合,右转弯刹车同理;即1状态和5状态的与,2状态和6状态的与,有一个是低电平时就要三进制循环使灯循环亮,当3,4状态时74LS161不起作用,灯的闪烁只与CP有关。

3.3 单元电路设计

3.3.1 单元电路工作原理

1.555定时器

图3-3 电路中的555定时器

图3-4 555多谐振荡器电路图

由555定时器构成的多谐振荡器如图3-4所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接处。

由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。

=(R1+R2)C。

充电时间常数T

由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc 下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。

2.计数器,译码器,显示、驱动电路

图3-5 计数器,译码器,显示、驱动电路电路图

74LS138的输入与74LS161的输出相连,使每个状态各对应左右一个指示灯灯,

因为1、2、5、6状态是都存在指示灯循环亮的状态,所以这四个状态都要与74LS161的CLR端有联系,显然1、5可以看成一组,2、6可以看成一组;而3、4状态不存在循环所以只与CP或。

3.开关控制电路

图3-6 开关控制电路电路图

由开关S1,S2,S3的闭合与断开控制如下7种状态:

1)000:汽车正常行驶,

2)001:汽车左转弯,

3)010:汽车右转弯,

4)011:汽车临时刹车,

5)100:汽车倒车,

6)101:汽车左转弯刹车,

7)110:汽车右转弯刹车。

此处加入数码管用来显示汽车正处于的某种状态。0到7分别对应着输出。因为74LS138输出为低有效,所以最后想让灯亮需要非门。

3.3.2 元件参数选择

555定时器用来发出始终脉冲,根据公式T≈0.7 (R1+RW+2R2) C得:当T=0.1S时

R

1=2.886KΩ,R

2

=5.772KΩ,C=10μF。计数器74LS161完成计数功能,三线八线译码器

74LS138控制输入状态从而得到最多8种输出状态。其他的逻辑门都是在连接电路过程中视情况选择而得。

第4章软件仿真

4.1 仿真电路图

图4-1 汽车尾灯控制电路仿真电路图

4.2 仿真过程

控制开关S1、S2、S3,实现设计任务及拓展。

(1)000:汽车正常行驶,

(2)001:汽车左转弯,

(3)010:汽车右转弯,

(4)011:汽车临时刹车,

(5)100:汽车倒车,

(6)101:汽车左转弯刹车,

(7)110:汽车右转弯刹车。

4.3 仿真结果

(1)000状态时汽车正常行驶,指示灯不亮。仿真结果如图4-2。

图4-2 000状态仿真结果截图

(2)001状态时汽车左转弯,左侧三个指示灯循环亮,仿真结果如图4-3

图4-3 001状态仿真结果截图

(3)010状态时汽车右转弯,右侧三个指示灯循环亮,仿真结果如图4-4。

图4-4 010状态仿真结果截图

(4)011状态时汽车临时刹车,指示灯同时处于闪烁状态,仿真结果如图4-5。

图4-5 011状态仿真结果截图

(5)100状态时汽车倒车,左右指示灯各有一个处于闪烁状态,仿真结果如图4-6。

图4-6 100状态仿真结果截图

(6)101状态时汽车左转弯刹车,左侧指示灯灯循环亮,右侧指示灯常亮,仿真结果如图4-7。(因为左灯循环亮与(1)相同,所以此处只截一图)

图4-7 101状态仿真结果截图

(7)110状态时汽车右转弯刹车,右侧指示灯右循环亮,左侧指示灯常亮,仿真结果如图4-8。(因为右灯循环亮与(2)相同,所以此处只截一图)

图4-8 110状态仿真结果截图

第5章安装调试

5.1 安装调试过程

电子电路的组装与调试在电子设计技术中占有重要位置。它是对理论设计进行检验、修改和完善的过程,任何一个新产品往往都是在安装、调试并反复改多次方能最终完成。

组装电路时应注意以下几点:

1)所有元器件在组装前应尽可能全部测试一遍,以保证所用元器件均合格。

2)所有集成电路的组装方向要保持一致,以便于正确布线和查线。

3)组装分立元件时应使其标志朝上或朝向易于观察的方向,以便于查找和更换。

4)对于有极性的元件,例如电解电容器、晶体二极管等,组装时一定要特别注意,切勿

搞错。

5)为了便于查线,可根据连接线的不同作用选择不同颜色的导线。一般习惯是正电源用

红色线、负电源用蓝色线、地线用黑色线、信号线用黄色线等。

6)连线尽量做到横平竖直。连线不允许跨接在集成电路上,必须从其周围通过。同时应

尽可能做到连线不互相重叠、不从元器件上方通过。

7)为使电路能够正常工作与调测,所有地线必须连接在一起,形成一个公共参考点。

正确的组装方法和合理的布局,不仅可使电路整齐美观、工作可靠,而且便于检查、调试和排除故障。如果能在组装前先拟订出组装草图,则可获得事半功倍之效果,使组装既快又好。

5.2 故障分析

连接完成后,存在重复状态,而缺少应有状态。经排查,导线连接正确,各元件完好。

后借助仪器检查出损坏导线,更换后电路正常。

第6章结论

总而言之,对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对以上三项要求,我们设计了相应的模块。用555实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路(74LS138,74LS20D芯片)和开关控制电路(74LS04,74LS08,74LS32芯片)实现汽车尾灯与汽车行驶状态之间的对应;通过计数器电路(74LS161芯片)实现汽车尾灯依次并循环显示。在实践大型综合实验过程中,要尽量进行仿真操作以及模块化设计的思路。

第7章使用仪器设备清单

电子技术综合实验仪,集成芯片:74LS00、74LS04、74LS08、74LS32、74LS138,74LS161、555定时器等,电阻,电容,开关,发光二极管,导线。

参考文献

1.李景宏,马学文.电子技术实验教程.沈阳:东北大学出版社.200

2.王永军,李景华编着.数字逻辑与数字系统.北京:电子工业出版社,2002

3.高吉祥,易凡编着.电子技术基础实验与课程设计.北京:电子工业出版社,2002

4.陈大钦编着. 电子技术基础实验. 北京:高等教育出版社,2000

收获、体会和建议

由为期数天的课程设计实践,主要获得以下几点总结和体会。这次设计是通过查阅多种资料、与同学讨论以及独立思考设计出来的。在设计过程中,用到了本学期所学过的同步计数器74LS161和译码器74LS138。因此,对它们的功能和运用有了更深一步的了解。同时通过Multisim软件对电路进行模拟仿真,从而使设计结果得到了验证。通过这次课程设计环节,了解到模拟电路和数字电路之间的联系,对单元功能电路的理解和运用能力有了一定的提高。将理论与实践相结合,知识的价值才会真正体现出来。但将所学的知识合理有效的应用于实践中,是很艰难的。但是面对困难我们需要勇气和执着。如果缺乏勇气不能在挫折面前我们便会不知所措,不愿有所作为,这样是不会有进展的。一开始接触这样综合性的电路实验,心里很没底,不知从何处着手。但是通过指导老师的指点和查阅一些资料便能渐渐理清思路。另外还想提一下的就是Multisim的使

用,开始的时候对multisim很不熟悉,但是摸索一段时间,我们还是能用用它来进行仿真设计的。当然要进行一项比较复杂的实践时,光有勇气还是不够的,更加需要执着地付出。

这次的课程设计主要是关于数字电路的知识,譬如多谐震荡器的原理,其周期的计算,模式开关电路的设计,各种芯片(主要是74LS138和74LS161)的结构与功能等。这时需要静下心来复习,把电路的各个部分原理弄清楚。

基于以上所述,总的来说就是三点:一,端正态度,认真负责。要向对待理论学习一样,认真的参与实践,将理论联系实际,在实践中检验理论,升华理论知识。认真听取指导教师的意见和建议,不断改进。二,坚持付出,不惧失败。在实践活动中,我们可能会遇到各种各样的困难,经历很多失败,这是必然的,但面对困难与失败,我们要迎难而上,要有一股不出结果不罢休的精神。三,勇于创新,精益求精。在实践中不断改进,取得更大的进步。

综上,感谢指导老师的认真负责与不辞辛苦,使我在本次设计实践中受益匪浅。

汽车尾灯控制电路设计

电子技术综合实验2 (开放型实验) 实验指导书 南昌航空大学信息工程学院电工电子教研室 2009年8月

实验一汽车尾灯控制电路设计 一、设计型实验的目的与任务 实验目的:使学生熟悉和掌握实际电子技术应用所需要的完整流程,即电路原理图设计、电路性能仿真与测试、电路板的制作、硬件电路的调试这一整套技能。 实验任务:在计算机上绘制电路原理图,完成设计电路的软仿真。在电子技术实验箱上搭建实物电路,并完成硬件电路的调试。观察实验现象,写出实验报告。 二、设计要求 假设汽车尾部左右两侧各有3个指示灯(可用试验箱上的电平指示二极管模拟) 1、汽车正常运行时指示灯全灭 2、右转弯时,右侧3个指示灯按右循环顺序点亮 3、左转弯时左侧3个指示灯按左循环顺序点亮 4、临时刹车时所有指示灯同时闪烁 三、设计内容 1、列出尾灯与汽车运行状态表,如表1-1所示 表1-1 2、设计总体框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出每种运行状态下,个指示灯与各给定条件(S1、S0、CP、Q1、Q0 )的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。 表1-2

图1-1 3、设计单元电路 三进制计数器电路。由双JK 触发器74LS76构成,可根据表1-2进行设计。汽车尾灯电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由三线译码器74LS138和6个与非门构成。74LS138的三输入端A2、A1、A0分别按Q1、Q0,而Q1Q0是三进制计数器的输出端 Y 0,Y 1,Y 2,依次为0有效(Y 3,Y 4,Y 5的符号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y 4,Y 5,Y 6依次为0有效,即反相器G4~G6的输出端也依次为0,故指示灯D4→D5→D6顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭:当G=0,A=CP 时,指示灯随CP 的频率闪烁。 开关控制电路。设73LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体功能表分析及组合得G 、A 与给定条件(S1、S0、CP )的真值表,如表1-3所示,真值表经过整理得逻辑表达式为 10 G S S =⊕ 10101010A S S S S CP S S S S CP =+=? 表3-3 4、设计汽车尾灯总体参考电路 由步骤3可得出汽车尾灯总体电路(参考),如图1-2所示

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

电子技术课程设计汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现 班级:计算机 学号: 姓名: 指导教师: 设计时间: 摘要 进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。 本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。 关键词:计数器,译码器,555定时器,逻辑门等 目录 摘要 (2) 第1章概述 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5)

2.2 设计要求 (5) 第3章系统设计 (7) 3.1 方案论证 (7) 3.2 系统设计 (7) 3.2.1 结构框图及说明 (7) 3.2.2 系统原理图及工作原理 (8) 3.3 单元电路设计 (9) 3.3.1 单元电路工作原理 (9) 3.3.2 元件参数选择 (12) 第4章软件仿真 (13) 4.1 仿真电路图 (13) 4.2 仿真过程 (13) 4.3 仿真结果 (14) 第5章安装调试 (20) 5.1 安装调试过程 (20) 5.2 故障分析 (20) 第6章结论 (21) 第7章使用仪器设备清单 (21) 参考文献 (21) 收获、体会和建议 (22) 第1章概述 随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。 对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

相关主题
文本预览
相关文档 最新文档