当前位置:文档之家› 基于 CPLD 的步进电机控制器设计

基于 CPLD 的步进电机控制器设计

基于 CPLD 的步进电机控制器设计
基于 CPLD 的步进电机控制器设计

基于 CPLD 的步进电机控制器设计

摘要

随着微电子技术的发展,可编程逻辑器件在当今得到了广泛的应用。本文通过分析可编程逻辑器件的工作特点,对可编程逻辑器件作为步进电机控制器的可行性进行了探讨,并与单片机进行比较(单片机是传统的步进电机控制器核心),得出了使用可编程逻辑器件作为步进电机控制器,相比较于单片机而言更具有优越性的结论。基于对步进电机的工作原理进行了详细的分析,提出了可编程逻辑器件的使用作为一个开环控制的步进电机控制方案。该方案控制器的理论输出波形通过进行编程和仿真在Max+plusII 软件上可以得出。通过对仿真波形的详细分析,表明该方案在理论上是成立的。为了证实该控制器的实际可行性,本文在通过方案理论仿真的基础上对Altera 公司生产的CPLD 芯片EPM7128SLC84-7 进行了程序烧写,为了付诸实践进而设计了一个简易的步进电机驱动器,从而完成了对实物电机的控制。通过实践,证明了该方案的实际可行性。

关键词:步进电机;可编程逻辑器件;MAX+plus II;控制

CPLD-based Design of The Stepper Motor

Controlle r

Abstract

With the development of microelectronic technology, programmable logic device has been widely used in modern world. This paper discusses the feasibility of programmable logic device as a stepper motor controller by analyzing the characteristics of programmable logic device. Compared with single-chip which is the core of the traditional stepper motor controller , I draw a conclusion that programmable logic device as a stepper motor controller more superior than single-chip. Through the detailed analysis on the working principle of stepper motor ,this paper presents the controller of stepper motor open-loop control scheme will use programmable logic device. Programming and simulation using MAX+plus II software, the theory of the controller output waveform is obtained .Through detailed analysis of the simulation waveform, shows that the scheme is set up in theory . In order to actually confirm the feasibility of the controller, procedures burned into the chip called EPM7128SLC84-7. Then,a simple stepper motor drive is designed and produced. In this way, an integrated stepper motor control system is made. Through the practice ,proves the practical feasibility of the proposed scheme.

Key words: stepper motor;PLD;MAX + plus II; control

目录

摘要........................................................... I Abstract ...................................................... I I 1 绪论 (1)

1.1 选题背景 (1)

1.2 EDA 技术简介 (1)

1.3 MAX+plus II 介绍 (2)

1.4 步进电机 (2)

1.5 设计任务 (3)

2 步进电机的结构及运行原理 (4)

2.1 混合式步进电机结构 (4)

2.2 四相步进电机运行原理 (4)

2.3 混合式步进电机的运行特性 (6)

2.3.1 静态运行特性 (6)

2.3.2 步进运行特性 (7)

2.3.3 连续运转状态 (8)

3 步进电机的控制方案 (10)

3.1 步进电机的开环控制 (10)

3.2 系统整体结构 (10)

3.3 器件说明 (11)

4 算法及其仿真 (12)

4.1 模式选择 (12)

4.2 正反转控制 (12)

4.3各相通电顺序控制信号生成 (13)

4.3.1 四相四拍 (14)

4.3.2 四相八拍 (14)

4.4速度转换 (15)

4.5 整体仿真 (18)

4.6 显示与键盘 (18)

4.6.1 数码管显示 (18)

4.6.2 键盘输入 (19)

5 系统硬件电路 (23)

5.1 控制器电路 (23)

5.2 光电耦合电路 (24)

5.3 驱动电路 (24)

5.4 显示电路 (25)

5.5 键盘电路 (26)

结论 (28)

致谢 (29)

参考文献 (30)

附录 A (31)

附录 B (35)

1 绪论

1.1选题背景

步进电机控制器在早期由数字逻辑电路构成或基于单片机为其控制核心。尤其在最初使用数字逻辑电路作为控制器的时候,控制器由许多的芯片焊接在一块电路板上构成,这需要占用很大的空间。此外,任何一个芯片的失败将导致控制器工作不正常,系统可靠性低。由于微电子技术的成长,以单片机为主旨构成步进电机的控制器变成主流并延续至今。单片机使用程序来完成信号的处理并对外部控制对象完成控制,程序编写灵活,可靠性高,并且使得控制器占用空间大为缩减。随着可编程逻辑器件的出现和发展,数字逻辑电路的设计变得更容易,它包含了大量的逻辑门电路,可以以模块化的方式,如积木为数字逻辑电路。可编程逻辑器件可以使用图形法和硬件描述语言进行编程,允许用户依据自己的习惯和经验单一使用图形法或者语言描述方法进行设计,也可以两种方法共同使用进行设计,设计过程非常灵活。可编程逻辑器件可以把所有需要用到的数字逻辑器件集成到一块小小的芯片上,这将进一步简化步进电机控制器电路,使得控制器的运行更为可靠,维护也更为方便。

在没有EDA 软件缺乏的时代,数字电路的设计是一个复杂的过程。首先,设计者要依据理论设计出方案,然后依据方案将所需的元器件焊接在一块电路板上并对其通电,测试其输出端的波形。发现电路输出不符合设计要求时,还需要检查电路是否有焊接错误或者焊接缺陷,大量的时间被电路调试给消耗掉了。EDA 的出现使得实际中的元器件可以用软件中的虚拟元件所代替,虚拟的接线易于连接并工作可靠,输出电压和电流的电路,也可以在使用虚拟仪器软件(电流表,电压表,示波器,逻辑分析仪)测量仿真,而且仿真得到的数据也能够非常近似地反映出实际电路工作是的相关参数,这种方法极大地缩短了设计周期,使劳动生产率大为提高。

1.2EDA 技术简介

EDA 技术就是以计算机为工具,设计者在 EDA 软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布

线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA 所设计的范围有以下三个方面:

(1)电路设计

电路设计主要是指电路原理图的设计、PCB版图设计、可编程逻辑器件设计和单片机(MCU)的设计等。

(2)电路仿真

电路仿真是利用EDA软件工具的电路环境(设计工具包含多种电路器件和电路)的过程(从激励的全过程的模拟响应)。这个工作与的性能调试和构建电路相对应着。因为不需要实际器件,因此起到了经济实惠的效果,并且有非常不错的结果。

(3)系统分析

利用 EDA 技术及工具能对电路进行直流工作点分析、交流分析、瞬态分析、傅里叶分析、噪声分析、噪声图分析、失真分析、直流扫描分析、DC 和 AC 灵敏度分析、参数扫描分析、温度扫描分析、转移函数分析、零极点分析、最坏情况分析、蒙特卡洛分析、批处理分析、用户自定义分析、反射频率分析等等。[1]

1.3 MAX+plus II介绍

MAX+plus II 是一个集成化的可编程逻辑器件开发环境,设计者在这个环境下进行编辑设计、编译、校验、布局布线、下载等一系列设计工作,并且由于设计方法的灵活性,可以使设计者有多种选择,这样能使设计者根据自己的技术水平快速完成所需设计。

[2]

1.4步进电机

步进电机是一种特殊的电机,广泛应用于位移被要求精确的控制场合。顾名思义,所谓步进电机便是以离散步进方式旋转,每输入一个脉冲就改动一次通电状况,它的定子绕组就前进一步。依赖于它的设计,步进电机能以每步90°、45°、18°旋转或以每个脉冲至少零点几的角度旋转。在不同的脉冲频率下,步进电机可以实现不同快慢速度的旋转:步进一次,或是以高达4000r/min的速度逐步地旋转。[3]

1.5 设计任务

本设计的主要任务是研究步进电机的控制方法以及在Altera公司提供的开发环境MAX+plus II平台上对四相步进电机设计并编写控制程序并完成仿真,最后完成对实物的控制。具体内容如下:

(1)本文通过对四相步进电机结构的分析,详细论述了步进电机的工作运行原理。(2)依据设计要求制定了四相步进电机的控制方案:

●四相四拍和四相八拍工作方式。按四相四拍步骤运行时,步进电机在各个绕组的通电次序为A-B-C-D-A,依次类推;按四相八拍步骤运行时,步进电机的各绕组的通电次序为A-AB-B-BC-C-CD-D-DA-A,依次类推。

●输出由发光二极管显示,使用开关座控制信号。可以用S 来掌控工作的模式;S=1 时为四相四拍运行,S=0时为四相八拍运行。CLK为脉冲输入,频率为Hz。EN为输出使能控制,高电平有效。

●要求步进电机速度可调,能够反转,运行可靠。

(3)在可编程逻辑器件开发环境MAX+plus II平台上编制控制程序并进行仿真。(4)步进电机驱动电路的设计。

(5)将编译后的程序烧写到器件MAX7128SLC84-7 上,连接驱动电路对实物电机进行控制。

(6)对设计的工作进行了总结。

2 步进电机的结构及运行原理

2.1混合式步进电机结构

混合式步进电机每转的步数有很多,还有很高的分辨率和效能,电机定子绕组上的电感比较小。从结构上看,混合式步进电机与反应式步进电机非常相似都是采用多相绕组,且电机的定转子上面分布有很多齿槽。一个沿轴方向的磁场由转子上的永磁铁产生,该磁场的方向是单向的,这与永磁式步进电机相类似。混合式步进电机可以当作同步电机进行速度调节,也可当作步进电机进行位置开环控制。如图2.1所示的电机即为比较常见的混合式步进电机的结构,定子与转子是组成它的两个重要部分。定子分为8个极,每个极上有励磁线圈,在磁极末尾有五个小齿均匀分布着。每个极上的线圈都有两种不同的通电方式,由于这样四相混合式步进电机就成为了A相和A′相,B相和B′相,C相和C′相,D相和D′相。电机的转子包括一个环形永久磁钢和两段铁芯,两段铁芯放置在环形永磁体两端,和每一段铁芯上都有一定数量的齿在圆周上均匀分布,而小齿在两段铁芯上是错开的,错开的距离有半个齿距,且定子上小齿的齿距等同于铁心上小齿的齿距。环形永磁体采用的是在轴向方向磁化的方法,这样就使得小齿在同一段铁心上具有相同极性,然而小齿的极性在两块不同段的铁芯上则是相反的。

图 2.1 混合式步进电机的结构图

2.2四相步进电机运行原理

混合式步进电机的磁动势来源于两个部分:一个磁动势来源于永久磁铁生产的;第二个

则是由定子绕组产生的磁动势。在每个不同的磁极下,有时为两种磁动势的加和,有时则为两者的差值,与电流方向不断变化的交流绕组,激发形成混合式步进电机的气隙磁通势。四相的混合式步进电机的构造图如图2.1所示。该电机的定子上有八个极分为四相即每两极构成一相,每个定子磁极分布5个小齿,而在转子上则有50个均匀分布的小齿。若在电机的某一端看进去时,当转子上的一个齿的轴线与定子某一个极上的小齿重合时,则其相邻极上定转子的齿就错开1/4齿距。从图2.1的I端可以看出,当磁极“5”和磁极“1”下定转子的齿轴线重合时,在磁极“2"下就错开了1/4齿距,而定子齿与转子槽的轴线在“3”极与“7”极下则在一条直线上。该混合式电机的脉冲是同极性的由驱动器供给,其定子绕组为四相。在这种情况下完全等同于采用由驱动器供给的正负脉冲的两相绕组的工作方式。当定子绕组无电流通过或转子上不存在磁钢时,电机一般就不会产生转矩,电磁转矩只有在定子的磁势与转子的磁钢共同作用的同时才会产生。在每相控制的绕组上无电流流过时,则永久磁钢产生的磁动势将决定混合式步进电机气隙中磁动势的大小。当电机的组成结构的相互对称时,那么在各个定子磁极下都会产生大小相等的气隙磁动势,此时电机将不会产生电磁转矩。在混合式步进电机的磁路上,电机上的磁导总数与转子的位置无关,这是由于它的永磁磁路的方向是轴向的。二相或四相混合式步进电机可以运行工作在许多不同的通电方式下。当其中的某一相通电时,举例子当A相的定子绕组处于通电状态时,如图2.2所示即为转子的平稳位置。定子的其中四极上有磁势作用,即“l”-“3”-“5”-“7”极,与其对应的极性分别为N-S-N-S。就图2.1所示的电机,在每相邻两个定子磁极之间所夹的齿距数为50/8=6+1/4。倘若转子离开了当前的平稳位置而向右侧的方向摆动了一个角度,如图2.3所示为作用转矩与定转子齿的相对位置以及方向。可以看到,扭矩在不同极性的方向不同的是相同的,都是使转子可以追溯到稳定的平衡位置方向。这是因为在电机两端的定子极性相同,而转子极性却相反,定子与转子相互错开了1/4齿距,所以当转子不在平稳的位置时,其两头的作用转矩的方向是相同的。

图 2.2 A 转子在各个通电状态时的平稳位置

图 2.3 作用在偏右位置时转子转矩的方向

当步进电机的定子绕组按单四拍A-B-C-D或双四拍AB-BC-CD-DA的次序通电,每改发生一次电源状态的改变,转子就沿其通电方向转过l/4齿距,即(360°/50)/4=1.8。当定子绕组按八拍模式时,在通电状态下的每一个变化,转子旋转0.9度。定子绕组每发生一次通电状态的变化,转子就会转过一个相应的角度,当走过一个通电状态的循环时,转子就转过一个齿距,每发生50个这样的通电循环,转子就会转一圈即360°。由于混合式步进电机线圈电流的作用而产生了单极磁场,这样就改变了分布在每个磁极上的磁场,使出现了磁位差在极与极之间,产生的磁位差与定子各相的通电次序一起变换,对气隙基波磁导的转矩发生作用。其工作原理是基于反应,这在一个轴向磁场的时间为基础的同时,也受到径向磁场的作用。

2.3 混合式步进电机的运行特性

2.3.1 静态运行特性

所谓静态运行就是指步进电机处在通电情况不发生变化的运行状态。

图 2.4 定子和转子齿的位置关系

图 2.5 步进电机矩角特性

2.3.2 步进运行特性

当作用在步进电机的脉冲频率较低时,每一个脉冲信号的到来转子就会转过一个相应的步距角。转子在两个脉冲之间有充足的时间处于静止状态,电机表现为步进运转状态。此时,影响步进电机工作情况的因素主要是电机的负载状况和驱动力矩值,如图2.6所示。

图 2.6 步进电机步进运行

当电机处于无负载状态,A相定子绕组通电时,O A点为转子的稳定平衡点。当B相绕

点对应的转矩将大于零,电机沿着矩组分配到脉冲时,矩角的特性将由B代替A,O

A

角特性B运行到O B点停止。当分配脉冲到C相时,转子会以点对点的方式由O B点运行到O C 点停止,每一拍都转过一个一定的步距角。当步进电机以一定的负载运行,只要负载达到相应的要求,转子可以保持它的步进运动。

2.3.3 连续运转状态

当频繁的给予脉冲时,未等电机转子停止就迎来了下一个脉冲,此时步进电机处于连续的运转状态代替了一步一步的转动。只要失调角在动态的稳定区域内,各相通电状态发生改变的瞬间,转子就会依然不失步的前进转动。步进电机工作在带负载条件下,需克服惯性转矩和负载转矩,当脉冲频率太大,失准角必须在下一个脉冲到达时进入动态稳定区域,否则电机不能启动。当电机运行时,减少因惯性力矩电机的影响,电机可以连续运行,其运行的频率高于起始频率。相数或节拍和步进电机的步进角度成反比关系,相数或节拍数越多,步进角则越小,越容易成稳定的区域,就会有较高的起始频率。随着脉冲信号频率的增大,电机的旋转速度也就会增大,那么步进电机可以带动起来的负载转矩将会减小。造成这这样的原因是当脉冲频率升高时,两个连续脉冲的时间间隙减小,定子绕组中的电感有阻碍电流变化的作用使其变化缓慢,致使控制绕组的电流在短时间无法上升到稳态值。频率的高低与电流的数值成反比,当频率很高时,电流上升

所能到达的数值也就很小,则电机的电磁转矩也会很小。此外,随着频率的增加,绕组反电势的增加导致步进电机电流下降,也能使电机输出转矩下降。总而言之,步进电机的输出转矩的大小与脉冲频率的大小成反比关系。[4]

3 步进电机的控制方案

3.1步进电机的开环控制

步进电机系统的主要特点是能实现精确位移、精确定位,且无积累误差。这是因为步进电机的运动受输入脉冲控制,其位移是断续的,总的位移量严格等于输入的指令脉冲数或其平均转速严格正比于输入指令脉冲的频率;若能准确控制输入指令脉冲的数量或频率,就能够完成精确的位置或速度控制,无需系统的反馈,形成所谓的开环控制系统。在开环控制方式中,系统由控制器、光电耦合器、电机驱动电路以及控制电机运动的信号源构成。步进电机的位移量或转角直接反映指令的输入脉冲,实现同步跟踪驱动。步进电机开环控制系统框图如图3.1所示。在这种系统中,步进电机转轴的位置和转速与输入脉冲之间没有反馈联系,不能对转轴的旋转情况进行有效的监控,以致在某些场合下,由于转速和转角的波动,会破坏运动的稳定性,并且难于实现高速。最常见的失控现象是,当输入脉冲频率超过步进电机的启动频率时,步进电机不能起动或者失去同步。在本设计中,控制器的输入脉冲为2Hz,应属于低速运转,故而开环控制能满足要求,由于开环控制经济并且简单可靠,故而本设计采取开环控制方案。

图 3.1步进电机的开环控制系统流程框图

3.2系统整体结构

如图 3.2,系统设计中采用Altera 公司的可编程逻辑器件EPM7128SLC84-7 芯片作为步进电机的控制器,引入外接2Hz时钟脉冲信号作为控制器所需的输入脉冲。使用光电耦合芯片tlp521在控制器的输出信号与电机的驱动电路之间进行强弱电隔离。

图 3.2系统构成框图

3.3器件说明

EPM7128SLC84芯片由宏单元、逻辑阵列块、扩展乘积项、可编程互连阵列(PIA)和I/O控制块五类模块组成。本设计中使用的EPM7128SLC84-7芯片价格很便宜,该芯片共具有84个引脚按功能可分为三类:电源引脚,指定功能引脚和60个用户可自定义的I/O引脚;在内部有128 个逻辑宏单元(Macrocell);内部大概有2500个等效门数;除了通用的I/O口引脚之外,EPM7128SLC84芯片还有两个全局的时钟、分别为全局的使能信号和全局清零输入信号;该器件的最大计数频率可达到为151.5MHz,并且内部互连延时为1ns,完全能够满足设计所需要求,另外,在本设计中采取了模块化设计,各个模块之间相互独立,易于扩展外部设备如键盘、显示器等。多余的I/O口可为未知的使用场合增加外部设备留下余地。

4 算法及其仿真

4.1模式选择

根据设计要求,S作为工作方式控制信号;S=1时为四相四拍运行,S=0时为四相八拍运行。依此设计模式选择模块:当S=1时,将信号输出端切换到四相四拍通电序列生成模块上;当S=0时,将信号输出端切换到四相八拍通电序列生成模块上。相应的程序如图4.1:

图 4.1 模式选择

其中,Q13~Q10为四相八拍模式下的电机各相控制信号;Q23~Q20为四相四拍模式下的电机各相控制信号。

4.2正反转控制

如图4.2,其中输入端re为步进电机旋转方向控制信号输入端,当re=0时,电机顺时针方向旋转,当re=1时,电机逆时针方向旋转。

图 4.2 正反转控制

4.3各相通电顺序控制信号生成

各相的通电顺序控制信号生成均使用了计数器。其中,四相四拍的通电控制信号采用环形计数器对输入的脉冲进行计数,每经四个脉冲计数重新开始,每次脉冲的计数输出均表现为高电平的单向循环移位。而四相八拍的通电顺序控制用这种方法并不好实现,故而采用用组合逻辑电路事先构成各通电状态,之后采用二进制计数器对输入脉冲进行计数,而计数器输出的信号正好是对应十进制的0~7,采用这八个二进制数作为选择信号对通电控制信号的各个状态进行有序选择,就能实现各相的顺序通电并且运行

可靠。

4.3.1 四相四拍

图 4.3 四位环形计数器

采用四位环形计数器产生循环的脉冲信号,依次为:“1000”、“0100”、“0010”、“0001”,如图4.3。

图 4.4 四位环形计数器时序图

4.3.2 四相八拍

四相八拍模式的通电控制信号设计采用的是组合逻辑电路与时序逻辑电路相结合的办法来实现的,即用门电路依1000-1100-0100-0110-0010-0011-0001-1001的顺序将各阶段的绕组通电状态表示出来,然后使用计数器产生有序的三位二进制信号对相应的各状态进行选择,即000-1000;001-1100;010-0100;011-0110;100-0010;101-0011;110-0001;

111-1001。这样,绕组各个阶段的通电状态将能够准确无误地实现。

图 4.5 三位二进制计数器

仿真波形如图4.6:

图 4.6 四相八拍模式下控制信号波形

4.4速度转换

速度转换模块使用一个四位的二进制计数器将输入频率为2Hz的脉冲信号进行分频,这样共获得2Hz、1 Hz、0.5 Hz、0.25Hz、0.125 Hz五个频率的脉冲信号,经由外部输入的控制信号来控制数据选择器进行速度选择,便可很方便地对电机的速度进行准确切换。

图 4.7 速度转换模块

计数器输出值与速度值对应关系如表 4.1:

表 4.1:计数器输出值与速度对应表

S2S1S0频率Hz 000/101/110/111 2

001 1

010 0.5

011 0.25

100 0.125 1、四位二进制计数器的主要程序代码如下:

(1)实体定义

PORT (CLK , RST , EN : IN STD_LOGIC;

Q : BUFFER STD_LOGIC_VECTOR ( 3 DOWNTO 0 ) );

(2)进程描述

PROCESS ( CLK , RST )

BEGIN

IF RST = ’0’ THEN

Q <= (OTHERS=>’0’);

ELSIF ( CLK’EVENT AND CLK=’1’) THEN

IF ( EN = ’1’ ) THEN

步进电机课程设计

汇编及接口技术课程设计 题目:步进电机控制系统 班 级: 070609 学 号: 070609313 姓 名: 赵明 时 间: 2009年12月 成绩:

目录 (一)设计任务与要求-3- (二)设计方案(包括设计思路、使用到哪些芯片、各个 芯片的作用)-------------------------------------------------3 (三)硬件线路设计(包括线路图及连线说明)----------4 (四)软件设计(包括程序流程图)-------------------------4 (五)源程序(要有注释)-------------------------------------5 (六)调试过程(包括实验过程中的硬件连线,实验步骤、 出现的问题、解决的方法、使用的实验数据等)-----8 (七)总结(在整个设计过程中的心得和体会,150字左 右)----------------------------------------------------8

课程设计题目:步进电机控制系统 一.设计任务与要求 (一)设计目的 1.了解步进电机控制的基本原理,掌握控制步进电机转动的编程方 法。 2.进一步熟练8255的使用。 (二)设计内容 编程控制步进电机,使其能够正常运转,要求: 1.开关K8控制电机的启动与停止:当K8向上拨时,电机启动,否则电机停 止; 2.开关K1~7控制电机的转速:K1向上拨时,得到最低转速,…… K7向上 拨时,得到最高转速。 3.每个开关对应一个发光二极管,要求开关向上拨时,对应的发光二极管亮。二.设计方案 (一)步进电机原理 步进电机驱动原理是通过对每相线圈中的电流的顺序切换(实验中的步进电机有四相线圈,每次有二相线圈有电流,有电流的相顺序变化),来使电机作步进式旋转。驱动电路由脉冲信号来控制,所以调节脉冲信号的频率便可改变步进电机的转速。 本实验使用的步进电机线圈由四相组成,驱动方式为二相激磁方式,如图3.1 所示。 图3.1 步进电机原理图 如表3.1所示,首先使HA线圈和HB线圈有驱动电流,接着使HB和HC、HC和HD、HD和HA,又返回到HA和HB有驱动电流,按这种顺序切换,电机轴按顺时针方向旋转。 表3.1 步进电机激磁方式

四相步进电机控制系统设计资料讲解

四相步进电机控制系 统设计

课题:四相五线单4拍步进制电动机的正反转控制专业:机械电子工程 班级:2班 学号: 20110259 姓名:周后银 指导教师:李立成 设计日期: 2014.6.9~2014.6.20 成绩:

1概述 本实验旨在通过控制STC89C52芯片,实现对四相步进电机的转动控制。具体功能主要是控制电机正转10s、反转10s,连续运行1分钟,并用1602液晶显示屏显示出来。 具体工作过程是:给系统上电后,按下启动开关,步进电机按照预先 实验具体用到的仪器:STC89C52芯片、开关单元、四项步进电机、等硬件设 备。 实验具体电路单元有:单片机最小系统、步进电机连接电路、开关连接电路、1602液晶显示屏显示电路。 2四相步进电机 2.1步进电机 步进电机是一种将电脉冲转化为角位移的执行机构。电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。 2.2步进电机的控制 1.换相顺序控制:通电换相这一过程称为脉冲分配。 2.控制步进电机的转向控制:如果给定工作方式正序换相通电,步进 电机正转,如果按反序通电换相,则电机就反转。

3.控制步进电机的速度控制:如果给步进电机发一个控制脉冲,它就 转一步,再发一个脉冲,它会再转一步。两个脉冲的间隔越短,步进电机就转得越快。 2.3步进电机的驱动模块 ABCD四相工作指示灯指示四相五线步进电机的工作状态 2.4步进电机的工作过程 开关SB接通电源,SA、SC、SD断开,B相磁极和转子0、3号齿对齐,同时,转子的1、4号齿就和C、D相绕组磁极产生错齿,2、5号齿就和D、A相绕组磁极产生错齿。当开关SC接通电源,SB、SA、SD断开时,由于C相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动, 1、4号齿和C相绕组的磁极对齐。而0、3号齿和A、B相绕组产生错齿,

步进电机驱动器的设计

1 绪论 1.1 引言 步进电动机一般以开环运行方式工作在伺服运动系统中,它以脉冲信号进行控制,将脉冲电信号变换为相应的角位移或线位移。步进电动机可以实现信号的变换,是自动控制系统和数字控制系统中广泛应用的执行元件。由于其控制系统结构简单,控制容易并且无累积误差,因而在20世纪70 年代盛行一时。80 年代之后,随着高性能永磁材料的发展、计算机技术以及电力电子技术的发展,矢量控制技术等一些先进的控制方法得以实现,使得永磁同步电机性能有了质的飞跃,在高性能的伺服系统中逐渐处于统治地位。相应的,步进电机的缺点越来越明显,比如,其定位精度有限、低频运行时振荡、存在失步等,因而只能运用在对速度和精度要求不高,且对成本敏感的领域。 技术进步给步进电动机带来挑战的同时,也带来了新的发展遇。由于电力电子技术及计算机技术的进步,步进电动机的细分驱动得以实现。细分驱动技术是70 年代中期发展起来的一种可以显著改善步进电机综合性能的驱动控制技术。实践证明,步进电机脉冲细分驱动技术可以减小步进电动机的步距角,提高电机运行的平稳性,增加控制的灵活性等。由于电机制造技术的发展,德国百格拉公司于1973 年发明了五相混合式步进电动机,又于1993 年开发了三相混合式步进电动机。根据混合式步进电动机的结构特点,可以将交流伺服控制方法引入到混合式步进电机控制系统中,使其可以以任意步距角运行,并且可以显著削弱步进电机的一些缺点。若引入位置反馈,则混合式步进电机控题正是借鉴了永磁交流伺服系统的控制方法,研制了基于DSP的三相混合式步进电机驱动器。 1.2 步进电机及其驱动器的发展概况 按励磁方式分类,可以将步进电动机分为永磁式(PM)、反应式(VR)和混合式(HB)三类,混合式步进电动机在结构和原理上综合了反应式和永磁式步进电动机的优点,因此混合式步进电动机具有诸多优良的性能,本课题的研究对象正是混合式步进电机。20 世纪60 年代后期,各种实用性步进电动机应运而生,而半导体技术的发展则推进了步进电动机在众多领域的应用。在近30 年间,步进电动机迅速的发展并成熟起来。从发展趋势来讲,步进电动机已经能与直流电动机、异步电动机以及同步电动机并列,从而成为电动机的一种基本类型。特别是混合式步进电动机以其优越的性能(功率密度高于同体积的反应式步进电动机50%)得到了较快的发展。其中,60 年代德国百格拉公司申请了四相(两相)混合式步进电动机专利,70 年代中期,百格拉公司又申请了五相混合式步进电动机

步进电机的简单电路控制

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:步进电机简单的控制电路 学院名称:南昌航空大学信息工程学院 专业:班级: 学号:姓名: 评分:教师: 2013 年 9 月 9 日 数字电路课程设计任务书 20 13-20 14 学年第 1 学期第 2 周- 4 周

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

步进电机是一种原理为利用电子电路的电脉冲信号转变为角位移或线位移的感应电机。通过简单的数字电路来控制它的转速并可以利用数码管来计算其转动的圈数,便可以实现电机的正反向转动,并且在数码管上精确的显示出它转动的圈数,从而广泛应用于实际生活当中。其中涉及到计算机,数字电路,电机,机械,完成了简单的自动化控制流程,将所学知识应用于工程中,增加实践动手能力。 关键词:分频、时序控制、脉冲计数

前言 (1) 第一章设计内容及要求 (1) 第二章系统的组成及工作原理 (2) 第三章单元电路设计 (2) 3.1多谐振荡器 (2) 3.2 步进电机信号控制电路 (3) 3.3转速的测量及显示电路 (4) 第四章调试 (5) 4.1电路排板及制作 (5) 4.2电路的调试 (5) 第五章总结 (6) 附录1:设计原理图 (7) 附录2:PCB电路图 (8) 附录3: 元件清单 (9)

前言 步进电机最早出现于上世纪,源于资本主义的造船工业,是一种可以自由转动的电磁铁,其工作原理和如今的反应式电机差不多,是依靠磁导来产生电磁矩,从而实现转动。 到了80年代之后,微型计算机逐步的应用于工业与生活中,使得步进电机的控制更加的灵活多样,最主要的是利用分立元件或者小型的集成电路来控制,但是对元件的需求量很大,调试也很复杂,出现问题需要花大量的精力来调试,因此,通过计算机软件来控制步进电机是必然的趋势,以提高工作效率。 现在的步进电机主要是由数字电路组成,也是利用集成电路来控制电路,但是大大的提高了其精度,更好的满足工业发展的需要。目前用到最多的是混合式步进电机,并具有很好的发展前景。 步进电机按照工作原理可分为永磁式、磁阻式和永磁感应子式三种。 今后步进电机将会有以下四个方面的发展,为减小其占用的空间从而会往小型方向发展,以更加的适用于工业制造当中;为增加力矩,从而会将圆形改为方形,以提高其工作效率;为体现其优越的控制性能,从而会偏向于一体化设计,以实现电子自动化控制,更加灵活方便;为降低其成本,增加其性能,从而会向三相和五相的方向发展,以充分实现其优越性能。 步进电机以其显着的特点,在电子数字化时代将发挥重大作用,将广泛应用于数控车床、机器人、航空工业和电子领域中,可完成工作量大,任务复杂、精度高的制造业以及代替人类完成不利于身体健康的工业中,为生活带来更多的便利。 第一章设计内容及要求 基本要求:1、利用proteus软件设计步进电机的工作原理图,并进行仿真。 2、调试及实现。 (1)实现步进电机根据输入的脉冲旋转的相应圈数。 (2)可以实现复位,正反转控制,由4个LED代替4个线圈。 (3)实现步进电机的加速、减速功能。

微机原理步进电机控制课程设计报告

河北科技大学 课程设计报告学生姓名:学号: 专业班级: 课程名称: 学年学期: 2 0 —2 0 学年第学期指导教师: 2 0 年月 课程设计成绩评定表

目录 一、设计题目………………………………………………………………. 二、设计目的………………………………………………………………. 三、设计原理及方案………………………………………………………. 四、实现方法………………………………………………………………. 五、实施结果………………………………………………………………. 六、改进意见及建议……………………………………………………….

七、设计体会………………………………………………………………. 、 一、设计题目 编程实现步进电机的控制 二、设计目的 1.了解步进电机控制的基本原理 2.掌握控制步进电机转动的编程方法 3.了解8086控制外部设备的常用电路 4.掌握8255的使用方法 三、设计原理及方案 设计原理 步进电机驱动原理是通过对每相线圈中的电流的顺序切换(实验中的步进电机有四相线圈,每次有二相线圈有电流,有电流的相顺序变化),来使电机作步进式旋转。 驱动电路由脉冲信号来控制,所以调节脉冲信号的频率便可改变步进电机的转速。 利用 8255对四相步进电机进行控制。当对步进电机施加一系列连续不断的控制脉冲时,它可以连续不断地转动。每一个脉冲信号对应步进电机的某一相或两相绕组的通电状态改变一次,也就对应转子转过一定的角度(一个步距角)。当通电状态的改变完成一个循环时,转子转过一个齿距。四相步进电机可以在不同的通电方式下运行,常见的通电方式有单(单相绕组通电)四拍(A-B-C-D-A…),双(双相绕组通电)四拍(AB-BC-CD-DA-AB…),八拍(A-AB-B-BC-C-CD-D-DA-A…)等。 通过编程对8255的输出进行控制,使输出按照相序表给驱动电路供电,则步进电机的输入也和相序表一致,这样步进电机就可以正向转动或反向转动。 硬件连接图 四.实现方法 .步进电机控制程序流图

步进电机驱动电路设计

如对您有帮助,请购买打赏,谢谢您! 引言 步进电机是一种将电脉冲转化为角位移的执行机构。驱动器接收到一个脉冲信号后,驱动步进电机按设定的方向转动一个固定的角度。首先,通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;其次,通过控制脉冲顿率来控制电机转动的速度和加速度,从而达到涮速的目的。目前,步进电机具有惯量低、定位精度高、无累积误差、控制简单等特点,在机电一体化产品中应用广泛,常用作定位控制和定速控制。步进电机驱动电路常用的芯片有l297和l298组合应用、3977、8435等,这些芯片一般单相驱动电流在2 a左右,无法驱动更大功率电机,限制了其应用范围。本文基于东芝公司2008年推出的步进电机驱动芯片tb6560提出了一种步进电机驱动电路的设计方案 1步进电机驱动电路设计 1.1 tb6560简介 tb6560是东芝公司推出的低功耗、高集成两相混合式步进电机驱动芯片。其主要特点有:内部集成双全桥mosfet驱动;最高耐压40 v,单相输出最大电流3.5 a(峰值);具有整步、1/2、1/8、1/16细分方式;内置温度保护芯片,温度大于150℃时自动断开所有输出;具有过流保护;采用hzip25封装。tb6560步进电机驱动电路主要包括3部分电路:控制信号隔离电路、主电路和自动半流电路。 1.2步进电机控制信号隔离电路 步进电机控制信号隔离电路如图1所示,步进电机控制信号有3个(clk、cw、enable),分别控制电机的转角和速度、电机正反方向以及使能,均须用光耦隔离后与芯片连接。光耦的作用有两个:首先,防止电机干扰和损坏接口板电路;其次,对控制信号进行整形。对clk、cw信号,要选择中速或高速光耦,保证信号耦合后不会发生滞后和畸变而影响电机驱动,且驱动板能满足更高脉冲频率驱动要求。本设计中选择2片6n137高速光耦隔离clk、cw,其信号传输速率可达到10 mhz,1片tlp521普通光耦隔离enable信号。应用时注意:光耦的同向和反向输出接法;光耦的前向和后向电源应该是单独隔离电源,否则不能起到隔离干扰的作用。 1.3步进电机主电路 如图2所示,步进电机主电路主要包括驱动电路和逻辑控制电路两大部分。 驱动电路电源采用28 v,电压范嗣为4.5~40 v,提高驱动电压可增大电机在高频范围转矩的输出,电压选择要根据使用情况而定。vmb、vma为步进电机驱动电源引脚,应接入瓷片去耦电容和电解电容稳压。out_ap、out_am、out_bp、out_bm 引脚分别为电机2相输出接口,由于内部集成了续流二极管,这4个输出口不用

步进电机控制电路设计

黄冈职业技术院 系别:07 机电工程系 专业: 应用电子 班级:二班 设计者:戴久志、邓修海、徐凯 指导老师: 温锦辉 设计课题: 液晶8279步进电机系统 设计时间: 二0一一年四月二十号 步进电机控制电路设计 1、系统基本方案 根据设计要求,步进电机控制电路可以分为控制模块、显示模块、电源模块、键盘模块、电机驱动模块、步进电机部分。步进电机控制电路基本模块方框图如图1.1所示。 2、系统硬件设计与实现 2.1、步进电机介绍 随着工业技术的不断进步,在自动化控制、精密机械加工、航空航天技术及所有要求高

精度定位等高新技术领域,步进电机的得到了广泛的应用。步进电机是一种将脉冲信号转化为角位移的执行机构。若在其输入端加入有规律的脉冲信号,就能驱动步进电机按设定的方向移动一定的距离或转动一个角度(称为“步距角”)。从结构上步进电机分为单相、双相、三相、四相、五相、六相等多种。本次设计使用步进电机分为A、B、C、D四相绕组,每相通电一次称为一拍。四相步进电机根据不同的通电规律可分为几种工作模式: ⑴、四相单四拍:A-B-C-D; ⑵、四相双四拍:AB-BC-CD-DA; ⑶、四相单八拍:A-AB-B-BC-C-CD-D-DA; ⑷、四相双八拍:AB-ABC-BC-BCD-CD-CDA-DA-DAB。 步进电机的正反转与电机每相的通电顺序有关,可以改变相序来改变电机的正反转。步进电机每步所旋转角度的大小,称为步距角(βB)。它是由电机本身转子的齿数(Z R)。一个通电循环内通电节拍数(M Q)决定的。即βB=360/ Z R M Q。电机出厂的步距角是固定的。四相步进电机的步距角为0.90/1.80(表示半步工作时为0.90,整步工作时为1.80)。步进电机转速的高低与控制脉冲频率有关。改变控制脉冲频率,可改变电机转速。 2.2、步进电机驱动模块 步进电机的驱动电路采用常用的电动机驱动芯片L298,它能够接受标准的TTL电平控制信号,驱动电机。L298操作时能提供的电压能达到46V,直流电流4A,具有过热保护功能,逻辑“0”的输入电压达到1.5V。L298在控制器的控制下驱动一个步进电动机,控制器产生L298年需的控制信号,以控制步进电机的运动状态。为了防止定子绕组的电感作用,使得电流切换时产生过电压,步进电机每相绕组两端都须并联一个用天在换相时起续流作用的肖基特二极管。步进电机驱动电路原理图如图 图2.2.1 步进电机驱动电路原理图 2.3、控制子程序 2.3.1、四相单四拍正转子程序 四相单四拍正转子程序主要用于控制步进电机以步距角为 1.80角度顺时针旋转。控制器从端口依次向步进电机的每相输出脉冲信号。每输出一个脉冲信号步进电机转动一定的角度。其工作模式为:A-B-C-D。 ;******单四拍正转****** MOV P1,#01H ACALL DELAY2 MOV P1,#02H ACALL DELAY2 MOV P1,#04H ACALL DELAY2 MOV P1,#08H ACALL DELAY2 2.3.2、四相单四拍反转子程序 四相单四拍反转子程序主要用于控制步进电机以步距角为 1.80角度逆时针旋转。其工作模式为:D-C-B-A。 ;******四拍反转******

基于单片机的步进电机控制系统的设计_毕业设计

本科毕业设计 基于单片机的步进电机控制系统的设计

摘要 随着自动控制系统的发展和对高精度控制的要求,步进电机在自动化控制中扮演着越来越重要的角色,区别于普通的直流电机和交流电机,步进电机可以对旋转角度和转动速度进行高精度控制。步进电机作为控制执行元件,是机电一体化的关键组成之一,广泛应用在各种自动化控制系统和精密机械等领域。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。 本系统介绍了一种基于单片机的步进电机控制系统的设计,包括了硬件设计和软件设计两部分。其中,硬件设计包括单片机最小系统、键盘控制模块、LCD显示模块、步进电机驱动模块、位置检测模块共5个功能模块的设计。系统软件设计采用C语言编写,包括主程序、数字键处理程序、功能键处理程序、电机驱动处理程序、显示模块、位置采集模块。 本设计采用STC89C52单片机作为主控制器,4*4矩阵键盘作为输入,LCD1602液晶作为显示,ULN2003A芯片驱动步进电机。系统具有良好的操作界面,键盘输入步进电机的运行距离;步进电机能以不同的速度运行,可以在不超过最大转速内准确运行到任意设定的位置,可调性较强;显示设定的运行距离和实际运行距离;方便操作者使用。关键词:单片机步进电机液晶显示键盘驱动

Design of the Stepping Motor Control System Based on SCM Qiu Haizhao (College of Engineering, South China Agricultural University, Guangzhou 510642,China) Abstract:With the development of automatic control system and the requirements of high-precision control, stepping motor control in automation is playing an increasingly important role, different from the common DC and AC motor, stepper motor rotation angle and rotational speed can be high-precision controlled. Stepper motor as a control actuator is a key component of mechanical and electrical integration, widely used in a variety of automated control systems and precision machinery and other fields. Stepper motor is the open-loop control components changing electric pulse signals into angular displacement or linear displacement .In the case of non-overloaded, the motor speed, stop position depends only on the pulse frequency and pulse number, regardless of load changes, that is, to add a pulse motor, the motor is turned a step angle. This system introduces a design of stepper motor control system based on single chip microcomputer, including hardware design and software design in two parts. Among them, the hardware design, including single chip minimal system, keyboard control module, LCD display module, the stepper motor drive module, position detection module five functional modules. System software design using C language, including the main program, process number keys, the key of function processes, motor driver handler, the display module, position acquisition module. This design uses STC89C52 microcontroller as the main controller, 4 * 4 matrix keyboard as an input, LCD1602 LCD as a display, ULN2003A chip as stepper motor driver. System has a good user interface, keyboard input stepper motor running distance; Stepper motor can run at different speed, and run to any given position accurately in any speed without exceeding the maximum speed, with a strong adjustable ; Display the running distance and the actual running distance, which is more convenient for the operator to use. Key words: SCM stepper LCD keyboard driver

【matlab编程代做】步进电机控制器设计

步进电机控制器设计报告 1.绪言 在本次EDA课程设计中,我们组选择了做一个步进电机驱动程序的课题。对于步进电机我们以前并未接触过,它的工作原理是什么,它是如何工作的,我们应该如何控制它的转停,这都是我们迫切需要了解的。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。这一线性关系的存在,加上步进电机只有周期性的误差而无累积误差等特点。使得在速度、位置等控制领域用步进电机来控制变的非常的简单。 步进电机及驱动电源是互相联系的整体。步进电机驱动电源框图如图1所示。变频信号源产生频率可调的脉冲信号,调节步进电机的速度。脉冲分配器则根据要求把脉冲信号按一定的逻辑关系加到脉冲放大器上,使步进电机按确定的运行方式工作。 感应子式步进电机以相数可分为:二相电机、三相电机、四相电机、五相电机等。以机座号(电机外径)可分为:42BYG(BYG 为感应子式步进电机代号)、57BYG、86BYG 、110BYG 、(国际标准),而像70BYG 、90BYG 、130BYG 等均为国内标准。 1.1 驱动控制系统组成 使用、控制步进电机必须由环形脉冲,功率放大等组成的控制系统。 1.1.1 脉冲信号的产生 脉冲信号一般由单片机或CPU 产生,一般脉冲信号的占空比为0.3-0.4 左右,电机转速越高,占空比则越大。 1.1.2 信号分配 感应子式步进电机以二、四相电机为主,二相电机工作方式有二相四拍和二相八拍二种,具体分配如下:二相四拍为,步距角为1.8 度;二相八拍为,步距角为0.9 度。四相电机工作方式也有二种,四相四拍为AB-BC-CD-DA-AB,步距角为1.8 度;四相八拍为 AB-B-BC-C-CD-D-AB,(步距角为0.9 度)。

步进电机驱动电路设计

https://www.doczj.com/doc/c96829186.html,/gykz/2010/0310/article_2772.html 引言 步进电机是一种将电脉冲转化为角位移的执行机构。驱动器接收到一个脉冲信号后,驱动步进电机按设定的方向转动一个固定的角度。首先,通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;其次,通过控制脉冲顿率来控制电机转动的速度和加速度,从而达到涮速的目的。目前,步进电机具有惯量低、定位精度高、无累积误差、控制简单等特点,在机电一体化产品中应用广泛,常用作定位控制和定速控制。步进电机驱动电路常用的芯片有l297和l298组合应用、3977、8435等,这些芯片一般单相驱动电流在2 a左右,无法驱动更大功率电机,限制了其应用范围。本文基于东芝公司2008年推出的步进电机驱动芯片tb6560提出了一种步进电机驱动电路的设计方案 1步进电机驱动电路设计 1.1 tb6560简介 tb6560是东芝公司推出的低功耗、高集成两相混合式步进电机驱动芯片。其主要特点有:内部集成双全桥mosfet驱动;最高耐压40 v,单相输出最大电流3.5 a(峰值);具有整步、1/2、1/8、1/16细分方式;内置温度保护芯片,温度大于150℃时自动断开所有输出;具有过流保护;采用hzip25封装。tb6560步进电机驱动电路主要包括3部分电路:控制信号隔离电路、主电路和自动半流电路。 1.2步进电机控制信号隔离电路 步进电机控制信号隔离电路如图1所示,步进电机控制信号有3个(clk、cw、enable),分别控制电机的转角和速度、电机正反方向以及使能,均须用光耦隔离后与芯片连接。光耦的作用有两个:首先,防止电机干扰和损坏接口板电路;其次,对控制信号进行整形。对clk、cw信号,要选择中速或高速光耦,保证信号耦合后不会发生滞后和畸变而影响电机驱动,且驱动板能满足更高脉冲频率驱动要求。本设计中选择2片6n137高速光耦隔离clk、cw,其信号传输速率可达到10 mhz,1片tlp521普通光耦隔离enable信号。应用时注意:光耦的同向和反向输出接法;光耦的前向和后向电源应该是单独隔离电源,否则不能起到隔离干扰的作用。

单片机课程设计-单片机控制步进电机

课程设计报告 题目单片机控制步进电机 课程名称单片机原理及接口技术 院部名称 专业自动化 班级M10自动化 学生姓名 学号 课程设计地点 课程设计学时 指导教师高峰 金陵科技学院教务处制 【注:根据课程设计大纲第四项具体要求撰写课程设计报告】

目录 1设计任务和要求 (3) 2设计思路 (4) 3系统硬件设计 (5) 3.1 硬件电路的工作原理 (5) 3.2步进电机模块 (5) 3.3控制模块 (6) 3.4主要元件介绍: (6) 4软件编程 (11) 5 调试过程与结果 (20) 5.1正转结果显示: (20) 5.1.1正转加速: (21) 5.1.2正转减速: (21) 6 总结与体会 (24) 7 参考资料 (26) 8 附录 (26)

1设计任务和要求 单片机课程设计是考察学生利用所学过的专业知识,进行综合的电机控制系统设计并最终完成实际系统连接,能够使学生对电气与自动化的专业知识进行综合应用,培养学生的创新能力和团队协作能力,提高学生的动手实践能力。最终形成一篇符合规范的设计说明书,并参加综合实践答辩,为后期的毕业设计做好准备。 本次设计考核的能力主要有: 1)专业知识应用能力,包括电路分析、电子技术、单片机、检测技术、电 气控制、电机与拖动、微特电机及其驱动、计算机高级语言、计算机辅 助设计、计算机办公软件等课程,还包括本专业的拓展性课程如变频器、组态技术、现场总线技术、伺服电机等课程。 2)项目设计与运作能力,团队协作能力,技术文档撰写能力,PPT汇报与 口头表达能力。 3)电气与自动化系统的设计与实际应用能力。 要求完成的工作量包括: 1)现场仿真演示效果。 2)学生结合课题进行PPT演讲与答辩。 3)学生上交课题要求的各类设计技术文档。

步进电机控制系统设计.

毕业设计论文 论文题目:基于单片机的步进电机控制电路板设计 摘要 随着微电子和计算机技术的发展,步进电机的需求量与日俱增,它广泛用于打印机、电动玩具等消费类产品以及数控机床、工业机器人、医疗器械等机电产品中,其在各个国民经济领域都有应用。研究步进电机的控制系统,对提高控制精度和响应速度、节约能源等都具有重要意义。 步进电机是一种能将电脉冲信号转换成角位移或线位移的机电元件,步进电机控制系统主要由步进控制器,功率放大器及步进电机等组成。采用单片机控制,用软件代替上述步进控制器,使得线路简单,成本低,可靠性大大增加。软件编程可灵活产生不同类型步进电机励磁序列来控制各种步进电机的运行方式。 本设计是采用AT89C51单片机对步进电机的控制,通过IO口输出的时序方波作为步进电机的控制信号,信号经过芯片ULN2003驱动步进电机;同时,用 4个按键来对电机的状态进行控制,并用数码管动态显示电机的转速。 系统由硬件设计和软件设计两部分组成。其中,硬件设计包括AT89C51单片机的最小系统、电源模块、键盘控制模块、步进电机驱动(集成达林顿ULN2003)模块、数码显示(SM420361K数码管)模块、测速模块(含霍尔片UGN3020)6个功能模块的设计,以及各模块在电路板上的有机结合而实现。软件设计包括键盘控制、步进电机脉冲、数码管动态显示以及转速信号采集模块的控制程序,最终实现对步进电机转动方向及转动速度的控制,并将步进电机的转动速度动态显示在LED数码管上,对速度进行实时监控显示。软件采用在Keil软件环境下编辑

************* 第1章绪论 1.1 课题背景 当今社会,电动机在工农业生产、人们日常生活中起着十分重要的作用。步进电机是最常见的一种控制电机,在各领域中得到广泛应用。步进电机作为执行元件,是机电一体化的关键产品之一, 广泛应用在各种自动化控制系统中。 随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。步进电机是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,其优点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累的特点,给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机、雕刻机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。研究步进电机的控制和测量方法,对提高控制精度和响应速度、节约能源等都具有重要意义。控制核心采用C51芯片,它以其独特的低成本,小体积广受欢迎,当然其易编程也是不可多得的优点为此,本文设计了一个单片机控制步进电机的控制系统,可以实现对步进电机转动速度和转动方向的高效控制。 1.2 设计目的及系统功能 本设计的目的是以单片机为核心设计出一个单片机控制步进电机的控制系统。本系统采用AT89C51作为控制单元,通过键盘实现对步进电机转动方向及转动速度的控制,并且将步进电机的转动速度动态显示在LED数码管上。 1

毕业设计论文 基于单片机的步进电机控制器

第1章绪论 (2) 1.1引言 (2) 1.2步进电机常见的控制方案与驱动技术简介 (4) 1.2.1常见的步进电机控制方案 (4) 1.2.2步进电机驱动技术 (6) 1.3本文研究的内容 (8) 第2章步进电机概述 (9) 2.1步进电机的分类 (9) 2.2步进电机的工作原理 (10) 2.2.1结构及基本原理 (10) 2.2.2两相电机的步进顺序 (10) 2.3 步进电机的工作特点 (13) 2.4本章小结 (15) 第3章系统的硬件设计 (16) 3.1系统设计方案 (16) 3.1.1系统的方案简述与设计要求 (16) 3.1.2系统的组成及其对应功能简述 (16) 3.2单片机最小系统 (18) 3.2.1AT89S51简介 (18) 3.2.2单片机最小系统设计 (23) 3.2.3单片机端口分配及功能 (24) 3.3串口通信模块 (24) 3.4数码管显示电路设计 (25) 3.4.1共阳数码管简介 (25) 3.4.2共阳数码管电路图 (26) 3.5电机驱动模块设计 (27) 3.5.1L298简介 (27) 3.5.2电机驱动电路设计 (28) 3.6驱动电流检测模块设计 (30) 3.6.1OP07芯片简介 (30) 3.6.2ADC0804芯片简介 (32) 3.6.3电流检测模块电路图 (35) 3.7独立按键电路设计 (36) 3.8本章小结 (36) 第4章系统的软件实现 (37) 4.1系统软件主流程图 (37) 4.2系统初始化流程图 (38) 4.3按键子程序 (39) 结论 (43) 1

第1章绪论 1.1引言 步进电动机又称脉冲电动机或阶跃电动机,国外一般称为Steppingmotor、Pulse motor或Stepper servo,其应用发展已有约80年的历史。步进电机是一种把电脉冲信号变成直线位移或角位移的控制电机,其位移速度与脉冲频率成正比,位移量与脉冲数成正比。步进电机在结构上也是由定子和转子组成,可以对旋转角度和转动速度进行高精度控制。当电流流过定子绕组时,定子绕组产生一矢量磁场,该矢量场会带动转子旋转一角度,使得转子的一对磁极磁场方向与定子的磁场方向一着该磁场旋转一个角度。因此,控制电机转子旋转实际上就是以一定的规律控制定子绕组的电流来产生旋转的磁场。每来一个脉冲电压,转子就旋转一个步距角,称为一步。根据电压脉冲的分配方式,步进电机各相绕组的电流轮流切换,在供给连续脉冲时,就能一步一步地连续转动,从而使电机旋转。步进电机每转一周的步数相同,在不丢步的情况下运行,其步距误差不会长期积累。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,同时步进电机只有周期性的误差而无累积误差,精度高,步进电动机可以在宽广的频率范围内通过改变脉冲频率来实现调速、快速起停、正反转控制等,这是步进电动机最突出的优点[1]。 正是由于步进电机具有突出的优点,所以成了机电一体化的关键产品之一,广泛应用在各种自动化控制系统中。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用[2]。比如在数控系统中就得到广泛的应用。目前世界各国都在大力发展数控技术,我国的数控系统也取得了很大的发展,我国已经能够自行研制开发适合我国数控机床发展需要的各种档次的数控系统。虽然与发达国家相比,我们我国的数控技术方面整体发展水平还比较低,但已经在我国占有非常重要的地位,并起了 2

步进电机控制电路

北京工业大学电子课程设计报告 (数电部分) 题目:步进电机

目录 一、设计题目------------------------------------------------------------------------------------------------3 二、设计任务和设计要求 1.设计题目------------------------------------------------------------------------------------------------3 2.设计技术指标及设计要求----------------------------------------------------------------------------3 三、电路设计------------------------------------------------------------------------------------------------4 1.脉冲发生电路-------------------------------------------------------------------------------------------4 2.环形脉冲分配电路-------------------------------------------------------------------------------------5 3.控制电路-------------------------------------------------------------------------------------------------6 4.驱动电路-----------------------------------------------------------------------------------------------10 5.步进电机-----------------------------------------------------------------------------------------------11 四、电路的组装和调试------------------------------------------------------------------------------------12 1.电路的组装----------------------------------------------------------------------------------------------12 2.电路的调试----------------------------------------------------------------------------------------------13 五、收获和体会---------------------------------------------------------------------------------------------14 六、附录------------------------------------------------------------------------------------------------------15 1.列表-------------------------------------------------------------------------------------------------------15 2.参考资料-------------------------------------------------------------------------------------------------15 3.部分芯片管脚图----------------------------------------------------------------------------------------16

步进电机控制系统课程设计

河北xxxxxx学院 课程设计说明 书 题目:步进电机控制系统 学院(系): 年级专业: 学号: 学生姓名: 同组学生: 指导教师:

步进电机控制系统 设计者:xxxxx 指导老师:xxxx 1摘要: 由于步进电机自身的特点、不需要位置、速度等信号反馈,只需要脉冲发生器产生足够的脉冲数和合适的脉冲频率,就可以控制步进电机移动的距离和速度。步进电机的运转方向的控制为输入电机各绕组的通电顺序。例如,一个三相步进电机的通电顺序为:a—ab—b—bc—c—ca—a--.....,此时点击正转,若通电顺序改为:a—ac—c—cb—b—ba—a--.....时点击反转。既可以通过改变环形分配器的脉冲输出顺序,也可以通过编程改变输出脉冲的顺序,来改变输入到各绕组的通电顺序,达到控制电击方向的目的。 关键词:步进电机 PLC 步进电机驱动器 引言步进电机是一种常用的电气执行原件,一种多相或单相同步点击,在数控机床、包装机械等自动控制及检测仪表等方面得到广泛运用。随着plc的不短发展。其功能越来越强大,除了有简单的逻辑功能和顺序控制外,运算功能的加入、pid和各类高速指令、使得plc对复杂和特殊系统的控制应用更加广泛。Plc与数控技术的结合产生了各种不同类型的数控设备。 2 任务与要求 (1) 了解步进电机的原理 (2) 熟练使用PLC控制步进电机,了解步进电机驱动器原理 3 装置原理介绍 3.1控制系统功能框图 在步进电机控制系统中,首先控制步进电机使之稳步启动,然后高速运动,接近制定位置时,减速之后低速运动一段时间,在准确地停在预定的位置上,最后步进电机停留2s后,按照前进时的加速—高速—减速—低速的步骤返回到起始点,其运动状态转换过程平稳,其功能框图如图3.1所以,其简单工作过程如图3.2所示。 由于步进电机本身的结构特性决定了它要实现高速运转必须有加速过程,如果在启动时突然加载高频脉冲,电机会产生啸叫、失步甚至不能启动,在停止阶段也是这样,当高频脉冲突然降到零时,电机会产生啸叫和振动,所以在启动和停止时,都必须有一个加速和减速过程。 3.2步进电机控制系统硬件设计 由于步进电机的硬件结构特性,所以对输入的脉冲的频率有所限制,对于低频的脉冲输出时,plc可以利用定时器来完成。若要求步进电机的速度较快时,就需要用plc的高速脉冲输出指令,这时就需要在程序中设置相应的步骤来完成对步进电机的控制。 3.21 组建器材 (1)主机plc 根据系统的控制要求,采用三菱FX系统系列的plc作为控制器。(2)限位开关此系统中共用了两个限位开关:左限位开关和右限位开关。这两个限位开关的作用是控制物体的位置,防止物体超出合理的工作范围。 (3)步进电机步进电机是该系统的执行机构

相关主题
文本预览
相关文档 最新文档