当前位置:文档之家› 四路彩灯显示系统设计

四路彩灯显示系统设计

四路彩灯显示系统设计
四路彩灯显示系统设计

课程设计说明书

课程设计名称:数字逻辑课程设计

课程设计题目:四路彩灯显示系统设计

学院名称:信息工程学院

专业:计算机科学与技术班级:100452 学号:10045226 姓名:杨东升评分:教师:

2012 年 6 月24 日

数字逻辑课程设计任务书

20 11 -20 12 学年第二学期第18 周-19 周

题目四路彩灯显示系统设计

内容及要求

设计一个四路彩灯控制器,设计要求如下:

1.接通电源后,彩灯可以自动按预先设置的程序循环闪烁。

2.时间由555秒脉冲控制。

3.设置的彩灯花型由三个节拍组成:

第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s;

第二节拍:四路彩灯从右向左逐次渐灭,也需4s;

第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s。

4.三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。

进度安排:

1、根据任务要求,查阅相关资料,完成设计的前期工作;(两天)

2、根据资料,进行方案设计并对比论证,完成参数计算;(三天)

3、库房领取元器件,联接电路,完成电路调试;(五天)

4、检查完毕后,撰写实验报告。

学生姓名:杨东升李福才

指导时间2012-6-11到2012-6-22 指导地点:E楼 504 室

任务下达2012 年 6 月 11日任务完成2012 年 6 月 22 日

考核方式 1.评阅□ 2.答辩□ 3.实际操作■ 4.其它□

指导教师张华南系(部)主任

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。摘要:

本次设计主要有三部分组成,其一由555计时器构成的多谐振器和二进制计数器74LS161接成的16进制计数器组成信号发生部分;其二由555计时器构成的多谐振器;其三由移位寄存器74LS194、发光二极管组成的显示部分。信号发生器部分提供的事彩灯的三种显示方式;信号调节部分负责信号的选择,显示部分则把灯光信号进行显示。

本次设计所实现的是三种方式(从左到右进行逐渐变亮->从右到左进行逐渐熄灭->四灯进行同时熄同时灭四次)的显示。

关键词:数字逻辑课程设计、四路彩灯、计数器、数据选择器、移位寄存器、

彩灯显示电路

目录

前言: (3)

第一章系统组成及工作原理 (5)

1.1设计总体思路 (5)

1.2基本原理 (5)

1.3框图 (6)

第二章单元电路设计 (6)

2.1时钟脉冲产生电路 (6)

2.1.1具体实现 (7)

2.2循环控制电路 (7)

2.2.1方案一: (7)

2.2.2方案二: (8)

2.2.3方案三: (9)

2.3具体实现 (9)

2.4彩灯花样输出电路 (9)

2.4.1运用到74LS194功能表 (9)

2.4.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'

端真值表 10

第三章总电路设计 (11)

第四章实验、调试及测试结果分析 (12)

4.1结果的调试及分析 (12)

4.1.1调试使用的主要仪器: 数字万用表直流稳压电源示波器函数信号发生器

12

4.1.2测试电路的方法和技巧: (12)

4.2调试中出现的故障、原因及排除方法: (12)

4.2.1彩灯只有一种花样变化,没有其它的花样: (12)

4.2.2彩灯无规律变化: (12)

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于12进制,导致有放空时间。应该检查74LS10是否正常工作,确保能实现12进制计数。 (12)

第五章总结与设计调试体会 (12)

第六章附录 (13)

6.1元器件清单: (13)

6.2芯片管脚图: (15)

6.3参考文献: (16)

前言:

灯光的闪烁和流动可以用于各种各样的装饰,电子门标,广告装饰等等流动的灯光总是特别吸引人们的眼球。在夜晚,城市街头,一旦广告牌上的灯光流动起来,那它马上变得生动起来。彩灯是大家比较感兴趣的话题,我今天说的这一个,它就利用大学所学到的数字逻辑的知识制成,只要了解几种集成电路便可轻松明白它的原理。做好的彩灯可以放在客厅,卧室等地方,你会感到温馨;或是歌舞厅等地方以增加气氛。

循环彩灯的电路很多,循环方式更是多种多样。有的更是专门的可编程彩灯集成电路。但大多数的彩灯控制电路数字电路来实现的,用中等集成电路实现的彩灯控制主要用计数器,译码器,触发器和一些门电路等集成。本次设计的四路彩灯循环彩灯控制器就是用计数器、定时器、移位寄存器等门电路实现的,其特点是用光二极管,显示同样式的光。

设计四路彩灯控制器目的在于进一步熟悉逻辑电路、脉冲电路的设计方法及熟悉相关数字芯片的功能和使用方法。本设计通过555定时器、74LS161计时器、74LS194移位计数器等实现一个装饰四路彩灯控制器,通过这个控制器可以实现灯的不同显示。通过这个设计可以让我们加深电子电路的理解,方便以后课时的学习。

第一章系统组成及工作原理

1.1设计总体思路

根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

1.2基本原理

由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。可以用一个16进制计数器产生脉冲信号,一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。

1.3 框图

第二章 单元电路设计

2.1 时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为:

T=0.7(R1+2R2)C ………………………(1-1)

控制74LS161模十六计数器电阻值和电容值可设为: R1=1K Ω , R2=20K Ω , C=0.01μF 由公式(1-1)计算得:T=0.287S

图2.1时钟电路

移位计数器

12进制循环控制器

多 谐 振 荡 器

彩 灯 显 示 输 出

16进制分频计数器

2.1.1具体实现

74LS161

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号;B输出4倍T的脉冲信号,大约为1S。

2.2循环控制电路

2.2.1方案一:

2.2.1方案一12进制循环控制电路示意图

用163控制计数,74LS163和74LS20一起产生循环信号,让四灯循环变化。但是74LS163芯片实验室没有,本设计的方案用74LS161替换了74LS163芯片。

2.2.2方案二

2.2.2方案二12进制循环控制电路示意图

此方案使用的门电路只有两种,元器件种类虽然省了不少,但总是需要两个门电路来实现一个需要的门电路,这样电路连线麻烦了不少,门电路需要的数量增加了不少。比较麻烦。不合算。

2.2.3方案三:

2.2.3方案三12进制循环控制电路示意图

本电路图使用了的芯片常见,实验室常有,种类也不多,需要的数量也不是很多,加上一个555芯片就可以实现信号的调节,实现四路彩灯按需求来闪动。

本次设计使用的就是这个方案来实现的。

2. 3具体实现:

如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR’,将计数器清零。此处工作状态从0000~1011,检测1100(异步清零)。

2.4彩灯花样输出电路

2.4.1运用到74LS194功能表

输入输出功能

/CR S1 S0 CP SL SR D0 D1 D2 D3 Q0 Q1 Q2 Q3

0 ×××××××××0 0 0 0 清零

d1 d2 d3 d0 d1 d2 d3 送数1 1 1 ↑××d

1 0 1 ↑× 1 ×××× 1 Q0n Q1n Q2n 右移

Q2n Q3n 0 左移1 1 0 ↑0 ×××××Q1

n

2.4.2 通过12进制计数器的输出端的C 、D 信号控制移位寄存器的S0

和S1及其CLR'端真值表

CLK 时间 /S 节拍 QD QC S0 S1 SR SL 74LS194 动作

1 Hz脉冲 B 1 第一节拍

0 0 1 0 1 * 右移 1 1 2 0 0 1 0 2 3 0 0 1 0 3 4 0 0 1 0 4 5 第二节拍

0 1 0 1 *

左移0

5 6 0 1 0 1 6 7 0 1 0 1 7 8 0

1 0 1 8 2

Hz 脉冲 A

9 第三节拍 1 0 1 1 * *

送1 清

9 1 0 1 1 10 10 1

0 1 1 11 1 0 1 1 12 11 1 0 1 1 13 1 0 1 1 14 12 1 0 1 1 15

由上表由: S0=QC' S1=QD+QC

CLR '=(QD*A+QD ')

进一步分析可知74LS194脉冲控制: CLK=(QD*A+QD ')*QD+B

4.2.3 彩灯花样输出电路接线图

2.4.3彩灯输出电路图

第三章总电路设计

3.1.1总电路

第四章实验、调试及测试结果分析

4.1结果的调试及分析

4.1.1调试使用的主要仪器:数字万用表直流稳压电源示波器

函数信号发生器

4.1.2测试电路的方法和技巧:

先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

4.2调试中出现的故障、原因及排除方法:

4.2.1彩灯只有一种花样变化,没有其它的花样:

可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。

4.2.2彩灯无规律变化:

原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六

计数器的CP脉冲是否稳定,看74LS161是否能计数,即Q

A 、Q

B

、Q

C

、Q

D

是否周期

性的高低电平变化,前面没问题,再检查与非门74LS20和非门74LS04是否能实现它们逻辑功能。

4.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间

有段时间彩灯不亮:

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于12进制,导致有放空时间。应该检查74LS10是否正常工作,确保能实现12进制计数。

第五章总结与设计调试体会

当完成这次课程设计后,感觉很有成就感,因为从电路设计到接线,测试都是自己独立完成。第一次真正体会到将所学知识运用到实践中的快乐,最终得到了完美的结果,但是最重要的是经历一次如此难忘的过程。

设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次有条理

的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。

在完成这次设计的过程中,我也有参考相关的设计课题,甚至还花了很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没有了头绪。学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有自己的思路和观点,并且努力去实现。

在完成设计的过程中自己不断解决了一个个的难题,要通向最后的成功,绝不会一蹴而就,做事要踏实,实事求是,不能好高骛远。

在电路接线过程中,也出现了很多问题,问题多并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。

在连线中遇到了连线后没得到想要的结果。但是在一步一步的查线、查芯片后,终于实现想要的结果。

通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。这是一次受益匪浅的实习。

第六章附录

6.1元器件清单:

序号名称型号规格位号数量

1 555定时器, 1

1

2 模十六计数器

74LS161

3 与门74LS001

1

4 三输入与非门

74LS10

5 非门74LS041

6 或门74LS322

1

7 74LS194双向

移位寄存器

8 发光二极管 4

6.2各元件管脚图

74LS04 74LS161 74LS194

74LS32

555

6.3参考文献

1、康华光主编.电子技术基础(第四版).北京:高等教育出版社,1998

2、阎石主编.数字电子技术基础(第四版).北京:高等教育出版社,1998

3、童诗白主编.模拟电子技术基础。第2版.北京:高等教育出版社,1998

4、欧阳星明主编.数字逻辑。第二版。武汉:华中科技大学出版社,2005

5、白静.数字电路与逻辑设计西安电子科技大学出版社,2009.

6、贾秀美.数字电路实践技术(第一版)[M].中国科学技术出版社,2000.

7、岳怡.数字电路与数字电子技术(第一版)[M].西北工业大学出版社,2001. 8、

四路彩灯设计

Proteus 仿 真 大 作 业 课题:四路彩灯设计系部: 班级: 姓名: 指导老师: 2013.05.31

前言 Proteus是世界上著名的EDA工具仿真软件,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。 因此,有较高的推广利用价值。

目录 第一章四路彩灯、555简介 (5) 1.1 四路彩灯设计原理 (5) 1.2 555芯片简介 (5) 第二章课程设计任务及要求 (6) 2.1 设计任务 (6) 2.2 设计要求及器件 (6) 2.3 设计目标 (6) 2.4 设计软件环境要求 (6) 2.5 元器件列表 (7) 2.6系统逻辑框图 (7) 2.7 彩灯点亮过程 (7) 第三章Proteus仿真电路 (8) 3.1 绘制数字时钟电路Protues仿真原理图: (8) 3.1.1 启动ISIS 7 Professional软件 (8) 3.1.2 数字时钟原理图 (9) 3.1.3 时钟电路 (9) 3.1.4 计数电路 (11) 3.1.5 电路检测 (12) 3.1.6参数的计算 (12) 3.2 仿真调试 (12) 第四章调试及测试结果分析 (14) 4.1 结果的调试及分析 (14) 4.2测试电路的方法和技巧: (14) 4.3调试中出现的故障、原因及排除方法: (14) 总结 (15) 参考文献 (16)

基于Multisim10的四路彩灯控制系统设计与仿真

《国外电子元器件》2008年第 9期 消费电子 基于 Multisim10的四路彩灯控制系统设计与仿真 连晋平 1,黄军仓 2 (1.肇庆学院,广东肇庆 526061; 2.西安财经学院,陕西西安 710061) 摘要 : Multisim10是目前各种电子电路辅助分析与设计软件中最优秀的软件之一 ,该软件具有模拟和数字电路的设 计、分析、仿真功能。提出了一种基于 Multisim10的四路彩灯控制系统设计与仿真方法,并在实际中得到了测试和应用。 关键词:电子电路;控制系统/辅助分析;辅助设计;四路彩灯 中图分类号 : TP391.72, TM923文献标识码:A文章编号:1006-6977(2008)09-0043-02 Design and simulation on control system of four path lights based on Multisim10

LIAN Jin-ping1, HUANG Jun-cang2 (1.Zhaoqing University,Zhaoqing 526061, China; 2.Xi’an Finance & Economics College, Xi’an 710061,China) Abstract:Multisim10 is one of the most excellent software about analysis and design on electronic circuit.It is used to de- sign,analyse and simulate digital circuit and

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

四路彩灯系统报告书

一.设计整体思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。 二.基本原理及整体框图 1、基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故可在前0.5秒内送数1将彩灯全部点亮,接下来的0.5秒使得74LS19清零端为零,从而将彩灯熄灭。然后重复此亮灭状态三次。因此第三个节拍只要在清零端送与CL K端相同的脉冲,但考虑到竞争冒险对电路的影响,需延迟时钟脉冲。

2、框图

三.单元电路设计及单元电路 1、分频器的实现 在数字电子技术中,对脉冲实现分频的方法一般有两种:其一是用n进制计数器。其二是用D触发器电路。这两种方法各有优势,但在此处我只分析用74LS161计数器实现分频的电路。电路分析图: 及其波形图 如下

2、循环控制电路 如果模N计数器的计数序列从最小1到最大数N,那么N+1是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR,将计数器清零。此处工作状态从0001~1100,检测到1101时异步清零。 12进

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

四路彩灯显示系统设计

课程名称: 数字电路逻辑设计 设计项目:四 路彩灯显示系统设计 专业班级: 通信 学生姓名: 同组人姓名: 指导教师: 学号:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 2、 3、 熟悉常用中规模计数器的逻辑功能。 掌握技术、译码电路的工作原理及应用。 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间 1s ,共用 4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需 4s ; 第三节拍:四路彩灯同时亮 0.5s,然后同时变暗,进行 4 次,所需时间也为 4s 。 (3)三个节拍完成一个循环,一共需要 12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为 、 、 、 ,若用高电平“1” 表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输 出显示。 Q Q Q Q d c b a

说明 输出 所用时间Q d Q c Q b Q a 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号: 设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间 也为4s。

(3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为 d Q 、 c Q 、 b Q 、 a Q ,若“1”表示灯 亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示 由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y Y Y 有效时间应为4秒,0Y 结束1Y 马上开始, 1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制

四路彩灯报告

电子技术课程设计报告 设计名称:四路彩灯 姓名学号:秦江 021212224 同实验者:安煜 021212215 指导老师:卓郑安 完成学期: 2013-14(二)

一.目的意义及原理 本次课题设计的目的是: 1.共有四个彩灯,分别实现三个过程,构成一个循共12秒; 2.第一个过程要求四个彩灯依次点亮,共4秒; 3.第二个过程要求四个彩灯依次熄灭,共4秒,先亮者先灭; 4.最后四秒要求四个灯同时亮一下灭一下,共闪4下。 四路彩灯采用的是四位双向通用位移存储器74LS194,将四路彩灯接在Q0到Q4上,SR稳定接高电平,SL稳定接低点位,而DO到D3接周期为1秒的方波信号。CLK的信号频率为2Hz,这样才可以在D0到D3的一个周期内出现CLK的两个上升沿,Q0到Q3分别读到1和0 各一次,如图一。 图一 1Hz和2Hz方波信号 即正确的时钟信号在整个12秒的时间应该是前8秒为1Hz的频率,后4秒变为2Hz的频率,因此用555定时器产生2Hz的方波信号,再用D触发器分频产生1Hz的方波信号,如图二。 图二 1Hz和2Hz方波信号原理图

再来确定S1S0的信号,四种工作方式种剔除第一种S1S0为00的情况,那么S1S0应按01、10、11的顺序循环,可设为一个同步计数器,时钟周期为4秒,共三个状态。 图三四路彩灯原理图 二.Proteus仿真过程 1.元件清单 1)电源统一使用稳压源输出电压。 2)电阻阻值有一些微小变化,以元件中现有的相近阻值替代。 2.电路仿真过程和运行结果

在Proteus中画出用555产生的1Hz和2Hz方波信号仿真图,如下图所示: 图四 555产生的1Hz和2Hz方波信号仿真图 在Proteus中画出四路彩灯仿真图,点击运行,灯泡变亮。如下图所示: 图五四路彩灯仿真图 三.电路焊接与调试 在焊接开始前,首先要对元器件进行确认,是否符合要求并逐一检查是否有缺漏,然后对整个布局进行一个确认以便与焊接方便及美观。由于仿真图和原理

四路彩灯设计实验报告

电子工艺与数字逻辑课程设计报告 班级: 姓名: 学号: 指导教师: 撰写日期:2013.06.09 肇庆学院 计算机学院软件学院

目录 第一章课程设计内容与要求分析 ................................................................................................... - 3 - 1.1任务与要求 (3) 1.2设计要求 (3) 1.3主要设计条件 (3) 第二章设计总思路........................................................................................................................... - 4 - 2.1基本原理 (4) 2.2框图 (4) 第三章单元电路设计......................................................................................................................... - 5 - 3.1时钟脉冲产生电路 (5) 3.1.1....................................................................................................................................... - 5 -3.1.2具体实现...................................................................................................................... - 5 -3.2循环控制电路 (6) 3.2.1....................................................................................................................................... - 6 -3.2.2....................................................................................................................................... - 6 -3.3彩灯花样输出电路.. (6) 3.3.1运用到74LS194功能表.............................................................................................. - 6 -3.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表..................................................................................................................................... - 7 - 第四章总电路设计......................................................................................................................... - 8 - 第五章实验、调试及测试结果分析 ............................................................................................... - 8 - 5.1结果的调试及分析 (8) 5.2调试中出现的故障、原因及排除方法 (9) 5.2.1彩灯只有一种花样变化,没有其它的花样:.......................................................... - 9 -5.2.2彩灯无规律变化:...................................................................................................... - 9 -5.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:..................................................................................................................................................... - 9 -第六章课程设计总结..................................................................................................................... - 10 - 参考文献........................................................................................................................................... - 10 - 附录................................................................................................................................................... - 10 -

四路彩灯课设

一. 设计目的 1.熟悉常用中规模计数器的逻辑功能。 2.掌握技术、译码电路的工作原理及应用。 3.熟悉移位寄存器的工作原理、典型应用和调试方法。 二、设计任务 设计一个四路彩灯显示系统,要求如下: 1、开机可自动从初始状态按规定程序进行循环演示。 2、彩灯花形由三个节拍组成: 第一节拍:逐次渐亮,灯亮时间1秒,共用4秒; 第二节拍:4路彩灯按逆序渐灭,也需4秒; 第三节拍:4路彩灯同时亮0.5秒,然后同时变暗0.5秒,要进行4次,所需时间也为4秒,3个节拍完成一个循环。 3、彩灯用发光二极管模拟。 三、设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮, “0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双 向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当012Y YY

四路彩灯显示电路数字逻辑课程设计

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号: 设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯能够自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成:

第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次, 所需时间也为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s后重复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制能够用一个模12计数器来完成;彩灯渐亮、渐灭能够用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s、同时灭0.5s可考虑把1Hz的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为 Y Y Y有效时间应为4秒,0Y结束1Y 012 马上开始, Y后2Y马上开始,如此循环不断。为此可考虑采用移位 1 寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即 Y Y Y节拍应为100,可控制输入信 012 号使触发器置位、复位来实现。 为实现 Y功能要求器件具有右移功能,为实现1Y功能要求器件 有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A、B、C、D,并行输出端A Q、B Q、C Q、D Q,右移输

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

电子技术实验四路彩灯

电子技术实验四路彩灯 Prepared on 22 November 2020

《电子技术实验》四路彩灯显示电路设计报告 年级学院:2014级工程学院 专业班级:电气类1班 姓名:周文青 学号: 指导老师:薛秀云 目录 一、课程设计内容与要求分析 1.1实验目 的……………………………………………………………………………………… (3) 1.2实验内 容……………………………………………………………………………………… ………3二、设计总思路 基本原理…………………………………………………………………………………………… ....3实验流程图 (3) 三、模块化设计 555时基电路 555芯片结构及引脚图 (3) 555引脚功能表 (4) 555构成多谐振荡器 (4) 多谐振荡器参数计算 (5)

74LS161脉冲分频电路 74L S161芯片结构及引脚图 (5) 74L S161功能表 (6) 74L S161组成分频电路 (6) 74LS161组成12进制循环计数器 (6) 74LS194时间控制电路 74L S194芯片结构及引脚图 (7) 74L S194功能表 (8) 3.3.374L S194组成时间控制电 路 (8) 四、全局电路设计 电路功能表……………………………………………………………………………..…………… …9 基于的全局电路仿真 (10) 五、电路调试及仿真结果分析 电路调试的仪器 (10) 电路故障及分析 彩灯无法点亮 (10) 彩灯闪烁功能缺失 (11) 彩灯无规律闪烁 (11)

六、课程设计总结 (11) 七、参考文献..................................................................................................................11八、附录:元器件清单. (12) 一、课程设计内容与要求分析 1.1实验目的: 1、了解电子电路设计的过程 2、掌握电子线路的调试方法 3、系统掌握时序电路的设计与调试 1.2实验内容: 要求开机后彩灯按四个节拍循环工作 1、Q 1、Q 2 、Q 3 、Q 4 依次为1,相应灯依次亮,间隔为1秒 2、Q 4、Q 3 、Q 2 、Q 1 依次为0,相应灯依次灭,间隔为1秒 3、Q 1、Q 2 、Q 3 、Q 4 同时为1,四灯同时亮,间隔为秒 4、Q 1、Q 2 、Q 3 、Q 4 同时为0,四灯同时灭,间隔为秒 5、第(3)和(4)过程重复,共4秒。完成一个循环需要12秒。 二、设计总思路 、基本原理: 由实验要求可知该四路彩灯的状态可以合并为3个节拍,其中脉冲信号由555构成的多谐振荡器产生,3个节拍12秒循环显示可以用74LS161思维二进制加计数器 控制CR或LOAD产生反馈清零的效果;而灯依次亮,依次灭和同时亮同时灭的显示 电路可由芯片74LS194双向移位寄存器构成的电路产生,通过控制 S和1S实现右移、左移和送数,通过控制CR控制清零 、实验流程图 三、模块化设计 、 555时基电路 555芯片结构及引脚图

四路彩灯控制系统的设计

目录 0.前言 (1) 1.总体方案的设计 (1) 2.硬件电路的设计 (2) 2.1 时钟电路设计 (2) 2.2 单片机 (2) 2.3 LED彩灯 (4) 3.软件设计 (4) 4.调试分析及说明 (5) 4.1第一节拍的调试 (5) 4.2第二节拍的调试 (6) 4.3第三节拍的调试 (6) 5.结论 (6) 参考文献 (6) 课设体会 (8) 附录1 电路原理 (9) 附录2 程序清单 (10)

四路彩灯控制系统的设计 许山沈阳航空航天大学自动化学院 摘要:彩灯是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。这里我们所设计的四路彩灯控制系统是8051单片机作为控制核心,电源开关等较少的辅助硬件电路相结合,利用软件实现对彩灯的控制。本系统具有硬件少、体积小、电路结构简单等优点,而且方便调节亮灯模式,只需要修改软件程序,无需修改硬件电路等。 关键词:单片机;四路彩灯;控制 0.前言 近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。尤其是单片机在最小系统的应用越来越多,也越是成熟。 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此需要我们改进,该为单片机作为控制核心的最小系统。 1.总体方案的设计 设计一个四路彩灯控制系统,系统功能如下: (1)、采用单片机作为控制核心电路。 (2)、彩灯的控制由三节拍组成:第一拍时从第一路到第四路每间隔1s逐次

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期: 前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的

电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程 循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中 规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。 本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是 用发光二极管显示,实现可预置编程循环功能。 目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21

四路彩灯控制器电路设计

课程设计任务书 2009—2010学年第二学期 专业:网络工程学号:******** 姓名:**** 课程设计名称:电子技术课程设计 设计题目:四路装饰彩灯控制器电路设计 完成期限:自2010 年 6 月 1 日至2010 年 6 月14 日共 2 周 设计依据、要求及主要内容: 一、课题意义 本课题利用电子技术知识设计出彩灯装饰控制器,该控制器具有4路输出,该输出不是简单的4路彩灯依次循环点亮,而是产生多种花样,它能使4路彩灯以“依次点亮递增点亮闪亮……”等多种花样闪烁,可用于家庭和商铺的节日装饰。通过本课题练习,学生对综合知识应用能力、设计能力将有较大提高,对今后从事电子产品的研制、生产、经营维修等打下基础。 二、课题实现方法 本课题利用电子技术设计节日装饰彩灯电路,可以先查阅相关资料(网上查找或参考相关书籍手册),明确课题的方向和目的,然后学习完成课题所需的理论知识,了解电路的工作原理;在理解的基础上确定设计方案,设计出完整的电路,提交课程设计说明书一份。 三、设计内容 1.用555时基电路与外围电阻、电容等元件设计出振荡频率可调的超低频振荡器;十进制计数器/脉冲分配器输出电平控制晶闸管的开合,实现4路彩色灯串循环实现花样闪烁,给人们带来视觉上的动感效果。 2.设计要求画出电路原理图;标注元器件及参数选择; 3.设计过程中,能发现问题和并解决问题。 4.编写设计报告,写出设计过程,附上有关资料和图纸,有心得体会。 四、设计要求 1、设计电源电路和超低频脉冲振荡电路; 2、合理连接十进制计数器使之控制后续彩灯电路的工作,一个周期后能够

自动复位,实现循环; 3、设计晶闸管、彩灯连接方式电路。 五、参考文献 [1] 贾秀美.数字电路实践技术(第一版).中国科学技术出版社,2000. [2] 王毓银.脉冲与数字电路(第三版).高等教育出版社,1999. [3] 路勇.电子电路实践及仿真(第一版).清华大学出版社,2004. [4] 岳怡.数字电路与数字电子技术(第一版).西北工业大学出版社,2001. [5] 刘常澍.数字逻辑电路(第一版).国防工业出版社,2002. [6] 萧宝瑾.protel 99 SE操作指导与电路设计实例(第一版).太原理工大 学,2004. [7]赵学良,张国华.电源电路[M].北京:电子工业出版社,1995. [8] 张义申,陆坤等.电子设计技术[M].西安:电子科技大学出版.1996. [9] 陈有卿,叶桂娟. 555时基电路原理、设计与应用[M].北京:电子工业出 版社.2007. [10] 余孟尝.数字电子简明教程(第三版)[M].高等教育出版社,2006 指导教师(签字):教研室主任(签字): 批准日期:年月日

相关主题
文本预览
相关文档 最新文档