当前位置:文档之家› 四路彩灯控制器设计方案

四路彩灯控制器设计方案

四路彩灯控制器设计方案
四路彩灯控制器设计方案

四路彩灯控制器设计方案

1 前言

1.1序言

随着经济的发展,城市之间的灯光系统花样越来越多,用中规模集成电路设计并制作一个四路彩灯显示系统,可用于节日庆典,医院病房等多处地方,同用单片机控制相比,它具有准确,不易受外界干扰出错,因而得到了广泛的应用。小到人们日常生活中的电子贺卡,大到宾馆、医院等公共场所的大型数显电子钟。

1.2设计要求

用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:

1)开机自动置入初始状态后即能按规定的程序进行循环显示;

2)程序由三个节拍组成:第一节拍时,四路输出Q1~Q4依次为1,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,Q4~Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,Q1~Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒,然后同时灭0.5秒,共进行4次。每个节拍费时都为4秒,执行一次程序共需12秒;

3)用发光二极管显示彩灯系统的各节拍。

1.3实施计划

根据课程设计要求,首先确定总设计方案,然后用EDA软件设计各单元电路并仿真分析,最后完善总体电路写出设计报告。

1.4必备条件

编辑说明书:Word 2003

绘制框图:SmartDraw 7

绘制原理电路:Protel、Altium、Multisim、Tina、Proteus等

仿真分析:Altium、Multisim、Tina、Proteus等

PCB:Protel、Altium、Multisim、Tina、Proteus等

设计所需软件用以上任意即可完成需求。

2 总体方案设计

通过查阅大量相关技术资料,并结合自己的实际知识,我主要提出了两种技术方案来实现系统功能。下面我将首先对这两种方案的组成框图和实现原理分别进行说明,并分析比较它们的特点,然后阐述我最终选择方案的原因。

图2.1 四路彩灯控制流程框图

2.1方案比较

2.1.1方案1

采用单片机控制电路为主实现四路彩灯显示。

运用C语言编程,然后编译,通过单片机部实现四路彩灯的显示,编译成功后通过下载机下载至芯片,然后连接即可实现预先功能。

图2.2 方案一的原理框图

2.1.2方案2

采用中规模数字芯片组合实现四路彩灯显示系统。

要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。中规模数字芯片的组合方式多种多样,本设计决定时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161实现,彩灯花样输出电路由74LS194和相关逻辑门电路实现。

图2.3 方案二的原理框图

2.2方案论证

1)方案一

优点:连接线路较少简单,可以实现的功能更多,扩展性强。

缺点:编程较为麻烦,容易出错,不利于理解底层电路的连接和实现其功能。同时用单片机控制不宜体现数字电子技术基础运用实践的能力。

2)方案二

优点:不用编程,不用编译只要正确连接线路,元器件参数选择合适就能正常工作。

缺点:必须掌握所有芯片的引脚功能及作用,并且连线较为复杂。

2.3方案选择

本学期我们学习了数字电子技术,一直感觉数电学的不太好,很多概念模糊不清,尽管在学院创新实验室已经提前学习了单片机编程技术,但是为了打好基础,学好基础电子技术课程,我决定选择方案二。

3 单元模块设计

3.1各单元模块功能介绍及电路设计

本系统主要分为三个单元模块,它们分别是:秒脉冲电路、模12计数器74LS163设计、和移位寄存器74LS194控制显示电路。各单元模块功能及相关电路的具体说明如下。

3.1.1 555定时器构成秒脉冲电路设计

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,对于彩灯控制器,我们需要 1Hz占空比为50%的方波信号,设计电路图如下:

图3.1 555定时器构成的秒脉冲电路

3.1.2模12计数器74LS163设计

模12计数器74LS163起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。设计电路图如下:

图3.2 74ls163构成模12计数器

3.1.3通用移位寄存器74LS194控制四个彩灯

通用移位寄存器74LS194控制四个彩灯连接原理图如下:

图3.3 74ls194构成显示控制电路

3.2元器件的选择和参数计算

下面就相关电路中的参数计算以及元器件的选择进行说明。

3.2.1秒脉冲电路

方案一:石英晶体振荡器;

此电路的振荡频率仅取决于石英晶体的串联谐振频率fs,而与电路中的R、

C的值无关。所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是

频率不能调节,而且频带窄,不能用于宽带滤波。此电路非常适合秒脉冲发生

器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器;

由555定时器构成的多谐振荡器。555定时器的管脚图如图3.1所示。由于555

定时器部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路

形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的

多谐振荡器的振荡频率稳定,不易受干扰。因此采用此方案。

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其

周期为:

T=TP H+TP L=0.7×(R1+R2+R3)×C+0.7×(R1+0.79×R2)×C (1-1) 控制74LS161模12计数器电阻值和电容值可设为:

R1=4.2KΩ, R2=10KΩ,R3=10KΩ,C=47μF

由公式(1-1)计算得:T ≈ 1.1 S

3.2.2模12计数器74LS163设计

由一个74LS163、一个与门和一个与非门组成,这图用的是反馈置数法,

当输出端QD,QC,QB,QA为1011时,LOAD端接收到低电平0,此时74LS163输出

端就重新回到了0000状态。当然还可以用反馈清零法,既是将与非门的输出端

接在CLR端口,输出端QD,QC,QB,QA为1011时,将CLR置零,输出端为0000。

这样一次循环就完成。

3.2.3通用移位寄存器74LS194控制四个彩灯

在第一节拍中,S1S0=01,74194实现右移功能,即在时钟脉冲作用下,把

DSR=1逐次移进;在第二节拍中,S1S0=10,74194实现左移功能,即在时钟脉

冲作用下,把DSR=0逐次反方向移进。

3.3特殊器件的介绍

本系统中全部使用了如下功能器件: 74LS163 1个, 74LS194 1个,

74LS32 2个, 74LS04 1个, 74LS00 1个, 74LS08 1个,NE555 1个,

导线若干。下面就这些器件的功能特点、主要参数和使用方法作相应说明。

课程设计--四花样彩灯控制器

课程设计--四花样彩灯控制器

2012 ~ 2013 学年第二学期 《数字电子技术》 课程设计报告 题目:四花样彩灯控制器 专业:电子信息工程 班级: 11 电信一班 姓名:孙叶林陶轮汪宏俊汪义涛王安 亚 王劲松王亮亮王向阳魏伟指导教师:周旭胜 电气工程系 2013年5月30日

任务书 课题名称四花样彩灯控制器 指导教师(职称)周旭胜 执行时间2012~ 2013 学年第 2学期第 14 周学生姓名学号承担任务 王安亚1109121033 设计总电路图1 汪宏俊1109121031 设计总电路图2 陶轮1109121030 负责对比两个总电路图 汪义涛1109121032 设计555时钟脉冲产生电路 王向阳1109121036 设计四种码产生电路 王劲松1109121034 设计输出电路 魏伟1109121037 设计开关电路 王亮亮1109121035 查找参考资料 孙叶林1109121029 负责写课程设计报告 设计目的 通过设计方案的比较,对比电路的复杂与简单,器件的市场价格等方面因素,来选择一种比较好的可行性设计方案 设计要求(1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。 四花样自动切换的彩灯控制器,其电路简单、取材容易,而且被广泛地应用与现实生活当中。例如用于店面装饰可以增加其美观,吸引更多顾客。 在经过了几天紧张的电路焊接和调试,期间还进行了部分方案的修改和改进,现已实现了课程设计的主要任务和具体要求。 关键字:LED彩灯硬件电路

四路彩灯设计

Proteus 仿 真 大 作 业 课题:四路彩灯设计系部: 班级: 姓名: 指导老师: 2013.05.31

前言 Proteus是世界上著名的EDA工具仿真软件,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。 因此,有较高的推广利用价值。

目录 第一章四路彩灯、555简介 (5) 1.1 四路彩灯设计原理 (5) 1.2 555芯片简介 (5) 第二章课程设计任务及要求 (6) 2.1 设计任务 (6) 2.2 设计要求及器件 (6) 2.3 设计目标 (6) 2.4 设计软件环境要求 (6) 2.5 元器件列表 (7) 2.6系统逻辑框图 (7) 2.7 彩灯点亮过程 (7) 第三章Proteus仿真电路 (8) 3.1 绘制数字时钟电路Protues仿真原理图: (8) 3.1.1 启动ISIS 7 Professional软件 (8) 3.1.2 数字时钟原理图 (9) 3.1.3 时钟电路 (9) 3.1.4 计数电路 (11) 3.1.5 电路检测 (12) 3.1.6参数的计算 (12) 3.2 仿真调试 (12) 第四章调试及测试结果分析 (14) 4.1 结果的调试及分析 (14) 4.2测试电路的方法和技巧: (14) 4.3调试中出现的故障、原因及排除方法: (14) 总结 (15) 参考文献 (16)

基于Multisim10的四路彩灯控制系统设计与仿真

《国外电子元器件》2008年第 9期 消费电子 基于 Multisim10的四路彩灯控制系统设计与仿真 连晋平 1,黄军仓 2 (1.肇庆学院,广东肇庆 526061; 2.西安财经学院,陕西西安 710061) 摘要 : Multisim10是目前各种电子电路辅助分析与设计软件中最优秀的软件之一 ,该软件具有模拟和数字电路的设 计、分析、仿真功能。提出了一种基于 Multisim10的四路彩灯控制系统设计与仿真方法,并在实际中得到了测试和应用。 关键词:电子电路;控制系统/辅助分析;辅助设计;四路彩灯 中图分类号 : TP391.72, TM923文献标识码:A文章编号:1006-6977(2008)09-0043-02 Design and simulation on control system of four path lights based on Multisim10

LIAN Jin-ping1, HUANG Jun-cang2 (1.Zhaoqing University,Zhaoqing 526061, China; 2.Xi’an Finance & Economics College, Xi’an 710061,China) Abstract:Multisim10 is one of the most excellent software about analysis and design on electronic circuit.It is used to de- sign,analyse and simulate digital circuit and

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

四路彩灯系统报告书

一.设计整体思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。 二.基本原理及整体框图 1、基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故可在前0.5秒内送数1将彩灯全部点亮,接下来的0.5秒使得74LS19清零端为零,从而将彩灯熄灭。然后重复此亮灭状态三次。因此第三个节拍只要在清零端送与CL K端相同的脉冲,但考虑到竞争冒险对电路的影响,需延迟时钟脉冲。

2、框图

三.单元电路设计及单元电路 1、分频器的实现 在数字电子技术中,对脉冲实现分频的方法一般有两种:其一是用n进制计数器。其二是用D触发器电路。这两种方法各有优势,但在此处我只分析用74LS161计数器实现分频的电路。电路分析图: 及其波形图 如下

2、循环控制电路 如果模N计数器的计数序列从最小1到最大数N,那么N+1是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR,将计数器清零。此处工作状态从0001~1100,检测到1101时异步清零。 12进

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

四路彩灯显示系统设计

课程名称: 数字电路逻辑设计 设计项目:四 路彩灯显示系统设计 专业班级: 通信 学生姓名: 同组人姓名: 指导教师: 学号:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 2、 3、 熟悉常用中规模计数器的逻辑功能。 掌握技术、译码电路的工作原理及应用。 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间 1s ,共用 4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需 4s ; 第三节拍:四路彩灯同时亮 0.5s,然后同时变暗,进行 4 次,所需时间也为 4s 。 (3)三个节拍完成一个循环,一共需要 12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为 、 、 、 ,若用高电平“1” 表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输 出显示。 Q Q Q Q d c b a

说明 输出 所用时间Q d Q c Q b Q a 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

四路彩灯报告

电子技术课程设计报告 设计名称:四路彩灯 姓名学号:秦江 021212224 同实验者:安煜 021212215 指导老师:卓郑安 完成学期: 2013-14(二)

一.目的意义及原理 本次课题设计的目的是: 1.共有四个彩灯,分别实现三个过程,构成一个循共12秒; 2.第一个过程要求四个彩灯依次点亮,共4秒; 3.第二个过程要求四个彩灯依次熄灭,共4秒,先亮者先灭; 4.最后四秒要求四个灯同时亮一下灭一下,共闪4下。 四路彩灯采用的是四位双向通用位移存储器74LS194,将四路彩灯接在Q0到Q4上,SR稳定接高电平,SL稳定接低点位,而DO到D3接周期为1秒的方波信号。CLK的信号频率为2Hz,这样才可以在D0到D3的一个周期内出现CLK的两个上升沿,Q0到Q3分别读到1和0 各一次,如图一。 图一 1Hz和2Hz方波信号 即正确的时钟信号在整个12秒的时间应该是前8秒为1Hz的频率,后4秒变为2Hz的频率,因此用555定时器产生2Hz的方波信号,再用D触发器分频产生1Hz的方波信号,如图二。 图二 1Hz和2Hz方波信号原理图

再来确定S1S0的信号,四种工作方式种剔除第一种S1S0为00的情况,那么S1S0应按01、10、11的顺序循环,可设为一个同步计数器,时钟周期为4秒,共三个状态。 图三四路彩灯原理图 二.Proteus仿真过程 1.元件清单 1)电源统一使用稳压源输出电压。 2)电阻阻值有一些微小变化,以元件中现有的相近阻值替代。 2.电路仿真过程和运行结果

在Proteus中画出用555产生的1Hz和2Hz方波信号仿真图,如下图所示: 图四 555产生的1Hz和2Hz方波信号仿真图 在Proteus中画出四路彩灯仿真图,点击运行,灯泡变亮。如下图所示: 图五四路彩灯仿真图 三.电路焊接与调试 在焊接开始前,首先要对元器件进行确认,是否符合要求并逐一检查是否有缺漏,然后对整个布局进行一个确认以便与焊接方便及美观。由于仿真图和原理

四路彩灯设计实验报告

电子工艺与数字逻辑课程设计报告 班级: 姓名: 学号: 指导教师: 撰写日期:2013.06.09 肇庆学院 计算机学院软件学院

目录 第一章课程设计内容与要求分析 ................................................................................................... - 3 - 1.1任务与要求 (3) 1.2设计要求 (3) 1.3主要设计条件 (3) 第二章设计总思路........................................................................................................................... - 4 - 2.1基本原理 (4) 2.2框图 (4) 第三章单元电路设计......................................................................................................................... - 5 - 3.1时钟脉冲产生电路 (5) 3.1.1....................................................................................................................................... - 5 -3.1.2具体实现...................................................................................................................... - 5 -3.2循环控制电路 (6) 3.2.1....................................................................................................................................... - 6 -3.2.2....................................................................................................................................... - 6 -3.3彩灯花样输出电路.. (6) 3.3.1运用到74LS194功能表.............................................................................................. - 6 -3.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表..................................................................................................................................... - 7 - 第四章总电路设计......................................................................................................................... - 8 - 第五章实验、调试及测试结果分析 ............................................................................................... - 8 - 5.1结果的调试及分析 (8) 5.2调试中出现的故障、原因及排除方法 (9) 5.2.1彩灯只有一种花样变化,没有其它的花样:.......................................................... - 9 -5.2.2彩灯无规律变化:...................................................................................................... - 9 -5.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:..................................................................................................................................................... - 9 -第六章课程设计总结..................................................................................................................... - 10 - 参考文献........................................................................................................................................... - 10 - 附录................................................................................................................................................... - 10 -

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

四路彩灯课设

一. 设计目的 1.熟悉常用中规模计数器的逻辑功能。 2.掌握技术、译码电路的工作原理及应用。 3.熟悉移位寄存器的工作原理、典型应用和调试方法。 二、设计任务 设计一个四路彩灯显示系统,要求如下: 1、开机可自动从初始状态按规定程序进行循环演示。 2、彩灯花形由三个节拍组成: 第一节拍:逐次渐亮,灯亮时间1秒,共用4秒; 第二节拍:4路彩灯按逆序渐灭,也需4秒; 第三节拍:4路彩灯同时亮0.5秒,然后同时变暗0.5秒,要进行4次,所需时间也为4秒,3个节拍完成一个循环。 3、彩灯用发光二极管模拟。 三、设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮, “0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双 向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当012Y YY

四路彩灯显示电路数字逻辑课程设计

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号: 设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯能够自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成:

第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次, 所需时间也为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s后重复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制能够用一个模12计数器来完成;彩灯渐亮、渐灭能够用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s、同时灭0.5s可考虑把1Hz的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为 Y Y Y有效时间应为4秒,0Y结束1Y 012 马上开始, Y后2Y马上开始,如此循环不断。为此可考虑采用移位 1 寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即 Y Y Y节拍应为100,可控制输入信 012 号使触发器置位、复位来实现。 为实现 Y功能要求器件具有右移功能,为实现1Y功能要求器件 有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A、B、C、D,并行输出端A Q、B Q、C Q、D Q,右移输

相关主题
文本预览
相关文档 最新文档