当前位置:文档之家› 级多波形信号发生器开题报告

级多波形信号发生器开题报告

级多波形信号发生器开题报告
级多波形信号发生器开题报告

南京理工大学紫金学院电子工程与光电技术系

毕业设计(论文)开题报告

学生姓名:陈辉辉学号:090401215

专业:电子信息工程

设计(论文)题目:基于FPGA的多波形信号发生器设计

指导教师:张晨

2012 年 12 月 28日

开题报告填写要求

1.开题报告(含“文献综述”)作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见及所在专业审查后生效;

2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式(可从教务处网页上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教师签署意见;

3.“文献综述”应按论文的格式成文,并直接书写(或打印)在本开题报告第一栏目内,学生写文献综述的参考文献应不少于15篇(不包括辞典、手册);

4.有关年月日等日期的填写,应当按照国标GB/T 7408—2005《数据元和交换格式、信息交换、日期和时间表示法》规定的要求,一律用阿拉伯数字书写。如“2007年3月15日”或“2007-03-15”。

毕业设计(论文)开题报告

1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写2000字左右的文献综述:

文献综述

一.课题研究的背景及其意义

随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,现场可编程门阵列FPGA的出现,给设计人员带来了诸多方便,使用其进行产品开发,成本低、周期短、可靠性高。本课题以FPGA芯片为核心,使用VHDL语言编写代码,实现多波形发生器设计。波形发生器是信号源的一种,主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数,信号源在各种实验应用和实验测试处理中应用非常广泛作,为激励源,仿真各种测试信号,以满足测量或各种实际需要。二.信号发生器的发展和研究现状

传统的波形发生器多采用模拟电路或单片机或专用芯片,由于成本高或控制方式不灵活或波形种类少不能满足实际需求。现有的波形信号发生器大都是利用单片机(CPU)为核心设计的,这使得电路控制比较方便,电路简单化、小型化。但同时也存在着许多不足,例如系统的可靠性通常不高,其主要原因是,以软件运行为核心的CPU 的指令地址指针在外部干扰下,容易发生不可预测的变化,而使运行陷入非法循环中,使系统瘫痪。EDA技术的出现,使得完全利用硬件实现波形信号发生器成为可能。例如状态机就是很好的选择,它的运行方式类似于CPU,但却有良好的可靠性和高速的性能。因为在外部干扰情况下,状态机的死机(进入非法状态)情况是可预测的,这包括非法状态的数量和进入状态的可测性,以及是否已进入的非法状态的可判断性。因为状态机的编码方式和数量是明确的,从而确保了恢复正常状态各种措施的绝对可行性。三、FPGA技术的优势技术的优势技术的优势技术的优势

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

(1) 采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

(2) FPGA可做其它全定制或半定制ASIC电路的中试样片。

(3) FPGA内部有丰富的触发器和I/O引脚。

(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

(5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FPGA 是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM 进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA 功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活]7[。FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。

四、参考文献参考文献参考文献参考文献

[1] 许光辉. 基于FPGA的嵌入式开发与应用. 北京:电子工业出版社, 2006

[2] 王小军 VHDL简明教程. 北京: 清华大学出版社,1997

[3] 张玉兴. DDS高稳高纯频谱频率源技术[J]. 系统工程与电子技术. 1997(2)24-28

[4] 白居玉. 低噪声频率合成[M]. 西安交通大学出版社. 1995年5月第1版

[5] 郑宝辉. 直接数字频率合成器相位截断误差分析[J]. 无线电工程.1998(6)1-5

[6] 高玉良,李延辉,俞志强.现代频率合成与控制技术.北京:航空工业出版社,2002, 15-150

[7] 潘松,黄继夜. EDA技术实用教程[M]. 科学出版社 .2005年第2版1-25

[8] 辛春艳. VHDL硬件描述语言[M]. 国防工业出版社,2002(1)

[9] 林明权. VHDL数字控制系统设计范例[M]. 电子工业出版社.2003. 224-241

[10] 卢毅、赖杰. VHDL与数字电路设计[M]. 科学出版社.2003(10)31-38

[11] 褚振勇、翁木云. FPGA设计与应用[M]. 西安电子科技大学出版社.2002(7)35-49

[12] 徐志军、徐光辉. CPLD/FPGA的开发与应用[M].电子工业出版社.2002. 65-98

[13] 赵限光、郭万有、杨颂华. 可编程逻辑器件原理、开发与应用[M]. 西安电子科技大学出版社. 2000. 117-119

[14] 黄智伟. FPGA系统设计与实践[M]. 电子工业出版社.2005(1)33-35

[15] 冯程. 用直接数字频率合成器产生正弦波[J]. 华中科技大学学报. 2003. 7

[16] Altera Digital Library,Altera Corporation ,Altera,2002;

[17] 《电子技术基础》(数字部分),康华光,高等教育出版社,1991;

[18] 《数字逻辑EDA设计与实践》,刘昌华,国防工业出版社,2006

[19] 数字系统设计与PLD应用技术,蒋璇、臧春华编著,电子工业出版社,2001;

[20] 周期成.电子设计硬件描述语言.北京:清华大学出版社;

[21] 陈怀琛等.MATLAB 及在电子信息课程中的应用[M] . 北京:电子工业出版社,2002.

[22] 赵曙光.可编程逻辑器件原理、开发与应用[M] 西安:西安电子科技大学出版社, 2000.

[23] 张庆等.程控信号源的数字调频设计.哈尔滨:电测与仪表。2001,(8):29—31.

[24] 王彦,方艾,张清明.基于FPGA的数字波形发生器《机械与电子》2004(6).

毕业设计(论文)开题报告

2.根据课题要求及文献综述,给出本课题要研究或解决的问题和拟采用的研究手段(途径):

开题报告

1.课题题目

基于FPGA的多波形信号发生器设计

2.本课题的目的和意义

本课题的目的是通过基于FPGA的多波形发生器的设计和制作,使学生学会运用模电、数电、VHDL语言和现场可编程门阵列等综合的知识,根据设计要求,完成电子系统设计和制作的能力。从而达到培养学生解决实际问题的能力。

3.研究或解决的问题及工作内容

1.查阅基于FPGA的多波形发生器的相关文献资料,完成文献综述。要求综合15篇以上文献,符合文献综合撰写规范;

2.完成开题报告。要求符合开题报告撰写规范;

3.按照至少产生三种波形发生器的技术要求,选择方案,阐述方案工作原理;

4.按照课题技术要求设计多波形发生器相关的软件程序,要求对相关软件程序加以必要说明;

5.仿真设计多波形发生器。使用QUARTUSⅡ仿真软件,仿真结果要求:⑴设计一个波形发生器能产生正弦波,方波,三角波;⑵用开关输入编辑生成以上波形的线性组合波形;

⑶波形频率可调;

6.撰写毕业设计(论文)说明书。要求符合毕业设计(论文)说明书撰写规范。

4.拟采用的研究方法

1.开发环境开发环境开发环境开发环境

开发平台:PC机

开发工具:PC机、FPGA平台、Quartus II。

2.研究课题的具体方案

1、多波形信号发生器简单设计法分析

多波形信号发生器主要由两大类电路模块组成,即函数发生电路如图和函数选择电路其中函数发生电路分别包括了产生三角波、正弦波和方波三种不同函数波形模块。

下图为信号发生器总框图。

2、利用DDS设计信号发生器

DDS是数字式的频率合成器,数字式频率合成器要产生一个sinwt的正弦信号的方法是:在每次系统时钟的触发沿到来时,输出相应相位的幅度值,每次相位的增值为WT(T 为系统时钟周期)。要得到每次相应相位的幅度值,一种简单的方法是查表,即将0—2Π的正弦函数值分成N份,将各点的幅度值存到ROM中,再用一个相位累加器每次累加相位值 wT,得到当前的相位值,通过查找ROM得到当前的幅度值。 DDS工作过程为:每次系统时钟的上升沿到来时,相位累加器(24位)中的值累加上频率寄存器(12位)中的值,

再用累加器作为地址进行ROM查表,查到的值送到D\A进行转换。这个过程需要几个时钟周期,但用VHDL设计,每个时钟周期每部分都在工作,实现了一个流水线的操作,实际计算一个正弦幅度值只用一个时钟周期,但是会有几个周期的延时。

下图即为DDS系统结构框图:

3、利用QuartusII 和VHDL语言对各波形发生模块进行仿真

在Quartus Ⅱ开发平台上,利用可编程逻辑器件PLD,采用硬件描述语言VHDL设计输入形式,按模块化方式设计出任意信号发生器的程序。然后,通过EDA技术,对各模块电路进行编译、仿真。

对各波形进行分析写出源程序,如:方波函数发生电路应实现这些功能:输出只要两种不同的取值:最小值表示全为0(十进制表示为0)、最大值表示为全1(十进制表示为255),每经过一定数量的时钟周期(在此设定为64),输出信号在两个输出取值之间进行翻转。

在QuartusII中输入各波形VHDL源程序,然后进行仿真。将三种波形的函数发生电路的输出信号作为函数选择电路的输入选择信号,即得到选择模块的仿真波形,函数选择模块的本质即选择译码电路。

4、在KHF-1型FPGA实验开发系统中进行硬件测试。

三、课题设计工作进度计划

2010-12-28—2011-01-10

2011-01-11—2011-04-10 2011-04-11—2011-05-20

2011-05-21—2011-05-28

2011-05-29—2011-06-05

查阅课题相关文献资料,分析清楚系统功能,完成开题报告和英文资料翻译。

根据要求确定系统实施方案,设计具体的电路;完成系统的电路设计、程序设计;同时撰写毕业论文初稿。完成程序调试、测试工作;完成论文大纲、毕业论文(修改两稿);完成系统使用说明书。

完成答辩准备(含答辩PPT的制作),进行毕业设计答辩提交毕业设计论文及各种材料(打印稿、电子稿)。

完成毕业设计全套材料(含电子稿)提交工作

毕业设计(论文)开题报告

指导教师意见:

1.对“文献综述”的评语:

2.对“开题报告”(课题的深度、广度及工作量)的评语和对设计(论文)结果的预测:

成绩

指导教师年月日所在专业审查意见:

负责人:

年月日

多波形函数信号发生器方案

个人资料整理仅限学习使用中文摘要

英文摘要

目录 1 引言.......................................................... - 1 - 2函数信号发生器设计要求及过程.................................. - 2 - 2.1函数信号发生器设计要求 (2) 2.2函数信号发生器电路设计的基本原理 (2) 2.3运算放大器的介绍 (3) 2.3.1迟滞电压比较器......................................... - 3 - 2.3.2 积分电路.............................................. - 5 - 2.4差分放大器的介绍 (6) 3总体电路设计 (7) 3.1方波—三角波产生电路的设计 (7) 3.2三角波—正弦波变换电路的设计 (11) 4.1EWB软件的简介 (15) 4.1.1 EWB软件的概述........................................ - 15 - 4.1.2 EWB软件的基本操作方法................................ - 15 - 4.2函数信号发生器的仿真过程及结果 (16) 4.2.1使用EWB对电路进行设计和实验仿真的基本步骤............. -16 - 4.2.2方波—三角波信号发生器电路的装调及仿真结果............ - 16 - 4.2.3三角波—正弦波变换电路的装调和仿真.................... - 17 - 结论........................................................... - 20 - 参考文献

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

DSP多波形信号发生器

数字信号处理(DSP) 综合设计性实验报告 学院:电子信息工程学院 班级:自动化 指导教师: 学生: 北京交通大学电工电子教学基地 2014年9月20日

目录 一实验目的 (3) 二实验技术指标与设计要求 (3) 三实验原理 (3) 四实验操作 (4) 五程序设计 (10) 六硬件输出演示 (16) 七实验感想与体会 (22) 八参考文献 (23)

一 实验目的 1 掌握多波形信号发生器的DSP 设计可使学生更加透彻的理解和应用奈奎斯特采样定理,提 高学生系统地思考问题和解决问题的能力。 2 通过对DSP 信号处理器及D/A 转换器的编程,可以培养学生C 语言编程能力以及使用DSP 硬件平台实现数字信号处理算法的能力。 3 学习并掌握使用DSP 产生正弦波、方波、三角波、锯齿波灯信号的原理和算法,并利用GEL 文件实现频率和幅度的自动可调。 4 掌握利用CCS 建立工程、编译与调试代码的基本过程,可以在软件中观察图形及变量,并利用硬件进行输出显示。 5 掌握产生多种波形的理论方法,并比较产生信号的两种主要方法(查表发和计算法)的优缺点。 二 实验技术指标与设计要求 1 基本部分 1) 使用DSP 产生300—16000Hz 的正弦、方波、锯齿波和三角波信号,输出信号的幅度从 0~1VRMS (有效值)。要求使用计算法,并且频率可变、幅度可变。 2) 调节信号的频率和幅度时不能中断程序的运行。(提示:可以使用CCS 下的GEL 语言实现此功能) 2 发挥部分 在实验板的信号输出端分别接入16欧姆和32欧姆负载电阻,信号仍然保持空载时所设定的 输出幅度。 三 实验原理 1 产生连续的波形的方法主要有以下两种方法: 1)查表法:把事先将需要输出的数据计算好,存储在DSP 中,然后依次输出就可以了。查表法的优点是速度快,可以产生频率较高的波形,而且不占用DSP 的计算时间;查表法的缺点是在于需要占用DSP 的内部的存储空间,尤其对采样频率比较大的输出波形,这样,需要占用的内部的空间将更大,而DSP 内部的存储空间毕竟有所限制。这使得查表法的应用场合十分有限。 2)计算法:计算法可以使用泰勒级数展开法进行计算,也可以使用差分方程进行迭代计算或者直接使用三角函数进行计算。计算结果可以边计算边输出,也可以先计算后输出。计算法的使用比查表法灵活。计算法的优缺点正好和查表法相反。即:其优点是不占用DSP 的存储空间,其缺点是占用DSP 的计算时间,使得执行程序的开销变大。 本实验将用第二种方法即计算法产生一个正弦波信号,从DA 输出。正弦函数和余弦函数的泰勒级数数学表达式为: =x sin +-+-+-+---)1(121 9753x x x x x x n n ,x ?),(∞-∞∈

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

+基于FPGA的多功能信号发生器

基于FPGA的多功能信号发生器 一.试验目的: 1.了解GW48-CK综合实验箱结构 2.熟悉VHDL语言 3.了解FPGA芯片(EP1K30TC144-3)结构及引脚 4.了解D/A芯片(DAC0832)结构[ 5.熟悉FPGA设计软件quartus ii 9.0的使用 6.掌握产生三角波,锯齿波,梯形波的原理 7.学会用FPGA设计多功能信号发生器 二.试验仪器及设备: 1.pc机 2.GW48-CK型FPGA综合试验箱 3.FPGA芯片:EP1K30TC144-3 4.D/A芯片:DAC0832 5.示波器 6.quartus ii 9.0仿真软件 三.实验要求: .设计基于FPGA的多功能信号发生器,此信号发生器可产生的波形有:正弦波,方波,三角波,斜升锯齿波,斜降锯齿波,梯形波,阶梯波,双阶梯波中的六种。 设计软件要求用quartus ii,先用该软件仿真,再接上FPGA试验箱,编译,运行并下载到实验箱,用示波器观察期指定输出端波形。 四.试验原理: 1.基于QUASTUS II 9.0平台,利用DDS(直接数字信号合成)技术,采用 VHDL语言,设计一波形信号发生器。首先根据对各波形的幅度进行采样,获得各波形的波形数据表,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入GW48-CK 实验板上的D/A转换芯片进行转换为模拟信号,最后送入滤波电路滤波后输出。 2.实验整体框图如下:由方波模块(niushengli_fb)、阶梯波模块 (niushengli_jtb)、正弦波模块(niushengli_sin)、三角波模块(niushengli_sjb)、斜升锯齿波模块(niushengli_xsjcb)、斜降锯齿波模块(niushengli_xjjcb)、6选1选择器(niushengli_mux61)以及反向器(not)组成。

多波形函数信号发生器

多波形函数信号发生器

————————————————————————————————作者:————————————————————————————————日期:

电子课程设计 设计题目:多波型信号发生器 系部:信息工程学院 专业:电子信息工程 班级:1301班 学号:8 姓名:高旭 指导老师:陈亮

目录 一设计要求 (3) 二总体概要设计 (3) 三各单元模块设计与分析······························································································4 3.1 正弦波发生 器 (4) 3.1.1 RC桥式振荡 器····························································································4 3.2方波转化电 路 (6) 3.2.1555定时 片································································································6 3.2.2由555芯片构成的施密特触发 器 (7) 3.2.3方波幅度调节电 路 (8) 3.3三角波转化电路 (8) 3.3.1RC无源积分器 (8) 3.3.2自举电路反相放大器················································································9 四总电路图 (10)

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

信号发生器调研报告

毕业设计(论文)调研报告 学生姓名汤代月专业班级通信工程2012级1班 所在院系_________________ 电气工程系______________________ 指导教师___________ 职称_______________________ 讲师__________ 所在单位__________________ 电子电路教研室_____________________ 完成日期2015 年3月13日

调研报告 信号发生器是现代电子技术发展的重要成果,又称信号源或振荡器,各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,也是应用最广泛的电子仪器之一。信号发生器是能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 信号发生器在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性。有输出指示信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。 一?课题的来源及意义 近年来由于电子器件的发展以及数字化微处理器技术的发展,信号发生器有了迅 速的发展,出现了合成信号发生器、程控信号发生器等新种类。各类信号发生器的性能指标也都有了大幅度提高,据调查得知,在低价格、高时钟频率、高性能的新一代DDS'可世后,以后信号发生器的发展不可估量!信号发生器应用己经遍及国民经济的各个领域,深入了人们的日常生活。增加课题应用技术的论述,所以我选择利用FPG/实现信号发生器的设计 我作为新时代大学生中的一员,在学习了通信工程专业知识后,又加入了WNC 企业中实习。实物接触应用机会多了,对信号发生器了解日渐加深,我想把理论知识

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

信号发生器分析报告

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

多功能信号发生器课程设计

课题:多功能信号发生器专业:电子信息工程 班级:1班 学号: 姓名: 指导教师:汪鑫 设计日期: 成绩: 重庆大学城市科技学院电气学院

多功能信号发生器设计报告 一、设计目的作用 1.掌握简易信号发生器的设计、组装与调试方法。 2.能熟练使用multisim10电路仿真软件对电路进行设计仿真调试。 3.加深对模拟电子技术相关知识的理解及应用。 二、设计要求 1.设计任务 设计一个能够输出正弦波、方波、三角波三种波形的信号发生器,性能要求如下: (1)输出频率,f=20Hz-5kHz 连续可调的正弦波、方波、三角波; (2)输出正弦波幅度V=0-5V可调,波形的非线性失真系数<=5%; (3)输出三角波幅度V=0-5V可调。 (4)输出方波幅度可在V=0-12V之间可调。 2.设计要求 (1)设计电路,计算电路元件参数,拟定测试方案和步骤; (2)测量技术指标参数; (3)写出设计报告。 三、设计的具体实现 1、系统概述 1.1正弦波发生电路的工作原理: 产生正弦振荡的条件: 正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。 正弦波振荡电路的组成判断及分类: (1)放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。 (2)选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生正弦波振荡。 (3)正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。(4)稳幅环节:也就是非线性环节,作用是输出信号幅值稳定。 判断电路是否振荡。方法是: (1)是否满足相位条件,即电路是否是正反馈,只有满足相位条件才可能产

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

基于lm324多用信号发生器

电子线路课程设计注意事项 1、本课程设计采用抽签形式选择相应题目,一般为4人一组,简 单设计以2人为一组;每组推出一个组长。 2、每组必须完成电路原理图、PCB版图、元器件焊接以及装置通 电试验,以及答辩的PPT。 3、每组组内成员为同一成绩。组内分工要明确,合作要和谐。具 体成绩包括焊接质量(5%)、电路完成情况(60%)、课程设计报告撰写(20%)、答辩(15%)。指导教师有权力根据综合情况调整分数。 4、指导教师为程志友、鲍文霞,按照大家选课时名单填写。 5、具体课程设计报告见附录。 6、未尽事项等候通知,其它事宜可和我联系。

附录: 《电子线路》课程设计报告 基于lm324的多用信号发生题目 器 学院 专业 组长姓名和学 号 学生姓名和学 号 指导教师 2016 年7 月7 日

目录

一选题目的及意义 设计电路的介绍和应用 本次课程设计以四运算放大器LM324为核心器件,通过迟滞比较器和积分器产生方波和三角波。再通过滤波电路和放大电路产生正弦波。它是信号发生器的基本原理电路,通过波形变换电路,可把它做成多用信号发生器。可应用于电子技术工程、通信工程、自动控制、仪器仪表及计算机技术等领域内。几乎所有的电参量在电子测量技术应用中都需要借助信号发生器进行测量。 按其信号波形分为四大类:①正弦信号发生器。主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按其不同性能和用途还可细分为低频(20赫至10兆赫)信号发生器、高频(100千赫至300兆赫)信号发生器、微波信号发生器、扫频和程控信号发生器、频率合成式信号发生器等。②函数(波形)信号发生器。能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。③脉冲信号发生器。能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。④随机信号发生器。通常又分为噪声信号发生器和伪随机信号发生器两类。噪声信号发生器主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测

相关主题
文本预览
相关文档 最新文档