当前位置:文档之家› 基于8086的交通信号控制器的设计[详细]

基于8086的交通信号控制器的设计[详细]

基于8086的交通信号控制器的设计[详细]
基于8086的交通信号控制器的设计[详细]

基于8086的交通信号控制器的设计报告

这次课程设计,我们的任务是:基于8086的交通信号控制器的设计.8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态.2.实现正常的倒计时功能.用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s.3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数.4.按S2键实现总体清零功能.计数器由初始状态计数,对应的指示灯亮.

1.2 设计思想

在此次设计过程中,我们选择了数码管、发光二极管、8255A和8086来控制实现交通灯按设计要求工作.

1)硬件部分

1、LED设计说明:

用LED作为倒计时时间的显示器, LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势.LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图

2、8255设计说明:

用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口.8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制.解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信.其芯片比较说明:如下表:

初始化特点8255A直接位清0/置1功能简

化了接口控制8251在初始化时,必须重新设置模式寄存器的格式.

2)

根据硬件需求采用8255A芯片,为实现对通信的控制,采用汇编语言,分别编程控制8255的工作方式,在编程时应考虑到状态的转换采用查询方式控制,根据需求分析可采用8255的方式0下工作具体如下设置:

硬件连接说明:8255A芯片的PA、PB、PC三口的工作方式为方式0,将8255的PB0~PB7接红绿灯用以模拟交通灯的变化,PA0~PA7接7段LED灯的段选码,,完成LED显示器的段选,PC0~PC3接LED显示器的位选码用以完成位选,PC4-PC7接开关,以完成初值接收.初始化8255后.利用汇编语言编写访问控制程序,控制绿灯的显示倒记时间,它与红灯的显示时间是相同的,编写控制程序控制黄灯的闪烁时间,当绿灯的显示时间结束后切换到黄灯,再切换到红灯,如此循环下去.

二、硬件设计

2.1 选择芯片8255A

1)、8255A在本实验中的作用:

通过8255A的三个I/O端口A口、B口、C口与红、绿、黄二极管连接起来控制交通灯的规律性变化.

2)、8255A的功能分析:

PA、PB、PC三口均工作在方式0状态.:PB2~PB3接黄灯,PB4~PB5接红灯;PB0~PB1接绿灯,PA0~PA7作为段选码;PC0~PC1作为位选码.我们采用的是将PC口分成两部分使用,PC0-PC3作为位选码,PC4-PC7作为输入口,接收开关输入的初值信息.将在用8255A前首先要对它进行初始化,设置它的方式选择控制字.

3)、8255A工作方式0说明:

方式0的工作特点:这种方式通常不用联络信号,不使用中断,三个通道中的每一个都有可以由程序选定作为输入或输出.其功能为:

(1)两个8位通道:通道A、B.两个四位通道:通道C高4位和低四位;

(2)任何一个通道可以作输入/输出;(3)输出是锁存的;

(4)输入是不锁存的;

(5)在方式0时各个通道的输入/输出可有16种不同的组合.

方式0的使用场合:同步传送是在外设控制过程的各种动作时间为固定,且已知的条件下使用的.因此,传送中不要应答信号.输入时,执行程序只要给出IN指令;而输出时,也只给出OUT指令,就能实现数据的输入或输出.优点是程序简单,接口的硬件开销小.

查询式传输时,要先查询一个外设的状态,当该状态表示外设已准备好时,方能开始查询传输,否则CPU将继续查询.但在方式0,没有规定固定的应答信号,所以,这时将通道A、B作为数据通道,把通道C的4个位(高4位或低4位)规定为输出口,用来输出一些控制信号,而把通道C的另外4个位规定为输入口,用来输入外设的状态.这样利用通道C来配合通道A和B 的输入/输出操作.

4)、8255A方式选择控制字说明:

控制字格式如图1-2:

图1-2:8255A芯片的控制字格式

参数说明:D7=1工作方式控制标志.D6D5组合设定A口工作方式:00~方式0、01~方式1、10/11~方式2.D4设定A口的输入/输出,D4=1输入D4=0输出.D3C口高位输入/输出选择,D3=1输入D3=0输出.D2B口工作方式选择,D2=1方式1;D2=0

方式0.D1B口输入/输出选择D1=1输入D1=0输出.D0设定C口低位输入/输出D0=1输入D0=0输出.

5)、8255A技术参数:

主要参数说明:8255A工作最大电流为120米A,VCC=-5V~+5V,I(DAR)工作电流最大为4米A.8255的输出电压不高,连接到LED时,最好加入一个驱动器起到电流放大的作用,其具体参数值说明如表3-1.

8255A技术参数测试条件

规范值

单位大小

输入低电平电压VIL0.8-0.

输入高电平电压VIHVCC2.0V输出低电平电压VOL(数据端口) IOL=2.5MA0.4

输出低电平电压VOL(外围端口) IOL=1.7MA0.4

输出高电平电压VOH(数据端口) IOR=-400M

2.4V

输出高电平电压VOH(外围端口) IOH=-200M

2.4V

达林顿驱动电流IOAR-4.

0-1.

米A

电源电流ICC120米A 输入负载电流IILIIN=0~VCCI/O米A 输出浮动电流IOFVOR=0.45~V

CC

I/O米A

图1-3: 8255A内部逻辑图

2.2 选择芯片七段LED显示器

1)、LED显示器在本设计中的作用:

接收由8255A芯片送来的字型码显示编码结果其中LED技术参数如表1-5:

表1-4: LED技术参数说明

2)、七段LED显示器LED显示器的功能分析:

LED显示器有共阳极和共阴极两类.其原理图(图1-4):

图是一个6位LED动态显示电路,段驱动器输出LED字符7段代码信息,位驱动器输出6个LED的位选信号,即分时使Q0~ Q5轮流有效,使得LED0~LED5轮流显示.

图1-4:七段LED显示器

笔画型LED显示器是由8个二极管电路a~dp按字型‘8’的方式排列,当不同的二极管被选通后根据发光效果会显示不同的自型.本设计中采用共阴极连接方式,a~dp分别与74LS273的1Q~8Q相连,接收段选码信号(高电平);4位LED显示器的4根共阴极引线与8255A的PC0~PC3相连,接收位选码信号(低电平).段选码和位选码共同作用以显示不同的字型.

LED字型显示原理:

Dp G f E d c b A 显示数码

0 0 1 1 1 1 1 1 0 3fh

0 0 0 0 0 1 1 0 1 O6H

0 1 0 1 1 0 1 1 2 5bh

0 1 0 0 1 1 1 1 3 4fH

0 1 1 0 0 1 1 0 4 66H

0 1 1 0 1 1 0 1 5 6dH

0 1 1 1 1 1 0 1 6 7dH

0 0 0 0 0 1 1 1 7 07H

0 1 1 1 1 1 1 1 8 7fH

0 1 1 0 1 1 1 1 9 6fH

2.3

1)、硬件原理说明:

本设计以STAR ES598PCI实验仪提供的最实用、新颖的接口实验,提供的汇编控制程序设计控制硬件运行为依据设计的;在课程设计中主要利用了STAR ES598PCI实验提供的8255A芯片,7段LED显示器,以及红绿灯LED显示二极管,其中完成PC机与实验箱之间的通信用到了最主要的集成卡PCI卡.课程设计时完成编程调试的只要开发工具为星研集成开发环境.

2)、硬件连接说明:

8255A芯片的PA、PB、PC三口的工作方式为方式0,将8255的PB0~PB7接红绿灯用以模拟交通灯的变化,PA0~PA7接7段LED灯的段选码完成LED显示器的段选,PC0~PC3接LED显示器的位选码用以完成位选,PC机通过数据总线经过集成电路卡PCI卡与8255A芯片相连接.其连接方式为:PCI卡的地址总线A1A0接到8255A芯片的A1A0来实现端口选择.将8255A芯片的片选信号CS与PCI卡的CS1相连接来决定各端口的初始地址.8255A芯片的PB口中PB0~PB7经过排线与实验箱的红绿灯电路板的插槽相连接,用来实现控制交通灯的变化规律;8255A的PC口中的PC0~PC3经过排线与实验箱上的7段LED灯的位选码插槽相连接,用来实现选择绿灯倒计时时的7段LED灯的位置选择,8255A芯片的PA口中的PA0~PA7经过排线与实验箱上的7段LED灯的段选码插槽相连接,用来实现7段LED灯的段选码选择.LED灯管的a、b、c、d、e、f、g、dp做为段选来显示倒计时数据.

图1-5: 硬件总连接图

三、控制程序设计

3.1控制程序设计思路说明:

首先,明确交通灯的变化规律,假设在一个十字路口,其中东西方向,南北方向,工作方式如下:将红黄绿灯按照红灯停,绿等行,黄灯闪烁为缓冲时间的原则进行通车.倒计时将可以由用户自由设定,用8255的PB,PC口来连接红黄绿灯电路板,而PA口连接LED显示管来计数倒计时.开始时初始化东西南北方向全为红灯,然后东西方向绿灯亮,进入倒计时默认值40秒,结束后东西方向绿灯闪烁三次,变黄灯亮三秒,然后红灯亮;南北方向绿灯亮,此时南北方向进入倒计时,倒计时时间可以在开关口提前设定本次倒计时时间.结束后绿灯闪烁三秒后,变黄灯亮三秒,然后红灯亮,东西方向绿灯亮,如此循环下去.

其次,主程序的设计思路:首先初始化8255A的芯片的控制字格式和状态字格式,其次设置交通灯开始运行的初始状态并做相应的延时便于观察,再次调用子程序对东西绿灯的倒计时时间,调用子程序显示绿灯的倒计时,后进行查表选择相应的LED灯的段选码,使相应的灯亮,显示东西绿灯交通灯变化,然后设置绿灯的闪烁,以及黄灯的亮持续,再次就是查表设置转换成南北绿灯的亮,同时调用子程序设置南北绿灯的倒计时时间,后进行查表选择相应的LED灯的段选码,使相应的灯亮,显示南北绿灯交通灯变化,然后做南北绿灯的闪烁,和黄灯的亮持续,最后做主程序的循环,利用无条件跳转指令.

3.2 程序流程图

图1-6:主控制程序

再次,也设计了一个到计时显示子程序,用来显示绿灯的到计时时间,设计思路:

首先要保存进入到计时子程序时的断点保存,以保证能够子程序结束能够正常返回主程序,其次设置LED灯的秒数跳的快慢,使其运行结果便于观察,再次设置程序分别显示到计时LED灯的秒数的个位与十位,在显示秒数的个位与十位时要做秒数的个位与十位计数是否到零的判断,与此同时也要做秒数的个位与十位的断选码指示器的移动,最后做恢复断点和子程序的返回.其控制流程图:

时,

保存断点设置空操作的次数,做判断循环是否该结束,然后恢复断点,返回主程序,其控制流程如下

3.3 控制程序

.米ODEL TINY

PCIBAR3 EQU 1CH ;8位I/O空间基地址(它就是实验仪的基地址, 也为D米A & 32 BIT ;RA米板卡上的8237提供基地址)

Vendor_ID EQU 10EBH ;厂商ID号

Device_ID EQU 8376 ;设备ID号

.STACK 100

.DATA

IO_Bit8_BaseAddress DW ?

米sg0 DB 'BIOS不支持访问PCI $'

米sg1 DB '找不到Star PCI9052板卡$'

米sg2 DB '读8位I/O空间基地址时出错$'

CO米_ADD DW 00F3H ;控制口偏移量

GGG DW ?

HHH DW ?

WWW DW ?

PA_ADD DW 00F0H ;PA口偏移量

PB_ADD DW 00F1H ;PB口偏移量

PC_ADD DW 00F2H ;PC口偏移量

XXX DW ?

LED_Data DB 11001100B ;红灯全亮

DB 01010110B ;东西绿灯,南北红灯. 从高位7到低位0为0则亮,1则灭.排线反接则顺序颠倒亮.

DB 11011110B ;东西绿灯闪烁,南北红灯

DB 10011010B ;东西黄灯亮,南北红灯

DB 01100101B ;东西红灯,南北绿灯

DB 11101101B ;东西红灯,南北绿灯闪烁

DB 10101001B ;东西红灯,南北黄灯亮

SG DB 6fH,7fH,07H,7dH,6dH,66H,4fH,5bH,06H,3fH ;9-0

.CODE

START: 米OV AX,@DA TA

米OV DS,AX

NOP

CALL InitPCI

CALL 米odifyAddress ;根据PCI提供的基地址,将偏移地址转化为实地址

米OV AL,10000000B ;PA、PB、PC为基本输出模式

米OV DX,CO米_ADD

OUT DX,AL ;灯全亮

米OV BX,OFFSET LED_Data

米OV DX,PA_ADD

start0: 米OV AL,0 ;红灯全亮

XLAT

OUT DX,AL

CALL DL500米s

START1: 米OV AL,01111101B ;东西绿灯,南北红灯

OUT DX,AL

CALL DL5S

米OV SI, 3FH

米OV DI, 4FH

CALL DJS

米OV CX,5

START2: 米OV AL,01111101B ;东西绿灯闪烁,南北红灯

OUT DX,AL

CALL DL500米s

米OV AL,11111101B

OUT DX,AL

CALL DL500米s

LOOP START2

米OV AL,10111101B ;东西黄灯亮,南北红灯

OUT DX,AL

CALL DL3S

米OV AL,11010111B ;东西红灯,南北绿灯

OUT DX,AL

CALL DL5S

米OV CX,5

米OV SI,3FH

米OV DI,4FH

CALL,DJS

START3: 米OV AL,11010111B ;东西红灯,南北绿灯闪烁

OUT DX,AL

CALL DL500米s

米OV AL,11011111B

OUT DX,AL

CALL DL500米s

LOOP START3

米OV AL,11011011B ;东西红灯,南北黄灯亮

OUT DX,AL

CALL DL3S

J米P START1

;倒计时显示程序

DJS PROC NEAR

PUSH DX

PUSH CX

PUSH BX

PUSH AX

PRT:

NU米:

米OV Al, [SI] ;设置段选码,将SI中的内容送入PA口

米OV DX, PA_ADD

OUT DX, Al

米OV Al, 0FcH ;设置位选码,PC0口置0高四位为0

米OV DX, PC_ADD ;其他口为1来选中LED1,显示个位

OUT DX, Al

CALL DELAY

米OV Al, [DI] ;设置段选码,将DI中的内容送入PA口

米OV DX, PA_ADD

OUT DX, Al

米OV Al, 0FDH ;设置位选码,PC1口置0高四位为0

米OV DX, PC_ADD ;其他口为1来选中LED2,显示十位

OUT DX, Al

CALL DELAY

LOOP NU米;循环到NU米

INC SI ;个位SI自增1

厘米P SI, OFFSET SG + 10 ;与表外的第一个偏移地址相比较,如果不JNZ PRT ;等则显示数据且SI+1,如果相等顺序执

INC DI ;十位DI自增1

米OV SI, OFFSET SG ;将SG即9的偏移地址送给SI

厘米P DI, OFFSET SG + 10 ;与表外的第一个偏移地址相比较,如果不JNZ PRT ;等则显示数据且DI+1,如果相等顺序执行米ov al, 0

out dx, al

POP AX ;结束子程序

POP BX

POP CX

POP DX

RET

DJS ENDP

DL500米s PROC NEAR

PUSH AX

PUSH DX

米OV DX,500 ;延时500米s

米OV AH,0FFH ;星研公司提供的软中断

INT 21H

POP DX

POP AX

RET

DL500米s ENDP

DL3S PROC NEAR

PUSH CX

米OV CX,6

DL3S1: CALL DL500米s

LOOP DL3S1

POP CX

RET

ENDP

DL5S PROC NEAR

PUSH CX

米OV CX,10

DL5S1: CALL DL500米s

LOOP DL5S1

POP CX

RET

ENDP

InitPCI PROC NEAR

米OV AH,00H

米OV AL,03H

INT 10H ;清屏

米OV AH,0B1H

米OV AL,01H

INT 1AH

厘米P AH,0

JZ InitPCI2

LEA D X,米sg0

InitPCI1: 米OV AH,09H

INT 21H

J米P Exit

InitPCI2: 米OV AH,0B1H

米OV AL,02H

米OV CX,Device_ID

米OV DX,Vendor_ID

米OV SI,0

INT 1AH

JNC InitPCI3 ;是否存在Star PCI9052板卡

LEA D X,米sg1

J米P InitPCI1

InitPCI3: 米OV DI,PCIBAR3

米OV AH,0B1H

米OV AL,09H

INT 1AH ;读取该卡PCI9052基地址

JNC InitPCI4

LEA D X,米sg2

J米P InitPCI1

InitPCI4: AND CX,0FFFCH

米OV IO_Bit8_BaseAddress,CX

RET

InitPCI ENDP

米odifyAddress PROC NEAR

ADD CO米_ADD,CX

ADD PA_ADD,CX

ADD PB_ADD,CX

ADD PC_ADD,CX

RET

米odifyAddress ENDP

Exit: 米OV AH,4CH

INT 21H

END START

四、上机调试过程

4.1硬件调试

根据实验硬件原理图和硬件连接说明,进行连线,结合实验时的实验箱的实际环境连接好上述部件后给实验箱通电.在实验硬件连接时曾出现,LED灯全部都不亮,后来经过几遍检查,才找出原因,PA口和和PC口接线反了,错误的原因是实验时不细心和实验态度不认真,经过此次经历,明白实验要同理论一样仔细,任何一个小错误都可能是造成实验失败的原因.

4.2 软件调试

我和同组人员一起讨论,在完成老师要求的基本功能基础上又相应相应的增加了相应的子程序用来实现相应的功能,例如如何动态的设计倒计时时间,如何在绿灯倒计时结束时使蜂鸣器发出警报的声音等等.

在程序的调试过程中却出现了各种错误现象,在单步调试过程下不断改正错误,重新调试,直到调试通过.但是功能还是不理想.后来经过参考其他班同学的程序设计,分别对各个程序代码进行了修改,后经过老师指导在老师的指导下,调试通过,功能基本实现..

在我们的交通灯实验中有一个紧急情况处理的情况,要求是按下按钮东西南北方向的交通灯全部变为红色,这个功能的实现需要要用到一个中断,我们打算采用dos键盘功能调用的0bh号功能调用,即检测键盘状态,有按下执行子程序,无按下循环执行.但由于上机调试时间有限这一功能未能实现.

4.3 联机调试

将实验箱总电源开关打开,打开PC机上的星源集成软件.将控制程序输入其中后,对程序进行编译,编译通过后,单步调试并观察结果以及各寄存器中值得变化,然后单步连续执行观察按下键与显示数值.出现问题时对程序进行修改,直至出现正确结果.再作全速运行.

4.4 调试结果

调试结果基本正确,符合任务书的结果:接口上的红、绿、黄发光二极管按十字路口交通红、绿、黄灯形式闪烁,并显示绿灯的倒计时时间.

中南大学微机课程设计报告交通灯课案

微机课程设计报告

目录 一、需求分析 1、系统设计的意义 (3) 2、设计内容 (3) 3、设计目的 (3) 4、设计要求 (3) 5、系统功能 (4) 二、总体设计 1、交通灯工作过程 (4) 三、设计仿真图、设计流程图 1、系统仿真图 (5) 2、流程图 (6) 3、8253、8255A结构及功能 (8) 四、系统程序分析 (10) 五、总结与体会 (13) 六、参考文献 (13)

一、需求分析 1系统设计的意义: 随着社会经济的发展,城市问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据检测、交通信号灯控制与交通疏通的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 随着城市机动车量的不断增加,组多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速通道,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速通道,缓解主干道与匝道、城市同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通灯的控制方式很多,本系统采用可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现本系统的各种功能。同时,本系统实用性强,操作简单。 2、设计内容 采用8255A设计交通灯控制的接口方案,根据设计的方案搭建电路,画出程序流程图,并编写程序进行调试 3、设计目的 综合运用《微机原理与应用》课程知识,利用集成电路设计实现一些中小规模电子电路或者完成一定功能的程序,以复习巩固课堂所学的理论知识,提高程序设计能力及实现系统、绘制系统电路图的能力,为实际应用奠定一定的基础。针对此次课程设计主要是运用本课程的理论知识进行交通灯控制分析及设计,掌握8255A方式0的使用与编程方法,通从而复习巩固了课堂所学的理论知识,提高了对所学知识的综合应用能力。 4、设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口;

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部)汽车学院 专业车辆工程 班级 学生 学号 6 月 29 日至 7 月 3 日共一周

目录 一、主要技术指标和要求 (2) 二、摘要 (2) 三、总体设计方案论证及选择 (2) 四、设计方案的原理框图、总体电路原理图及说明 1、设计方案的原理框图 (3) 2、总体电路原理图及说明 (4) 五、单元电路设计、主要元器件选择与电路参数计算 1、CP脉冲发生器电路 (5) 2、主控电路模板 (7) 3、组合逻辑电路模块 (8) 4、负载电路 (11) 六、收获与体会,存在的问题 (12) 七、参考文献 (13) 八、附件(元件材料清单、原理电路图或其他说明) (14)

一、主要技术指标和要求 (1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色放光二极管表示信号灯; (4)设计计时显示电路。 二、摘要 在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。 本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。通过这四个模块来实现对交通信号灯的控制。 三、总体设计方案论证及选择 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的

交通信号控制器的VHDL的设计说明

E D A 课程设计报告交通信号控制器的VHDL设计 班级:2009级通信工程一班 姓名:陈洁 学号:2009550606 指导老师:刘奇能

交通信号控制器的VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。能达到的要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图

表1 交通信号灯的4种状态 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明 (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号:full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。模块说明: 系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号:tai:产生显示电路状态转换信号 gw:倒计数值秒数个位变化控制信号 sw:倒计数值秒数十位变化控制信号 sgw:次倒计数值秒数个位变化控制信号; ssw:次倒计数值秒数十位变化控制信号; (3)第三模块:红绿灯状态转换电路

课程设计实验报告 交通灯控制功能设计

《微机原理与接口技术》课程设计实验报告 题目:交通灯控制功能设计 指导老师: 专业:信息科学与工程学院 班级:自动化0807班 日期:2011-1-5 微机课程设计——交通灯控制系统

目录 一、设计思想和实施方案论述,硬件原理图及分析 1.1、课程设计名称 1.2、课程设计要求 1.3、课程设计目的 二、设计思想和实施方案论述,硬件原理图及分析 2.1、设计思想和实施方案 2.2、硬件原理图 三、典型模块以及典型编程技巧分析 3.1、8086典型模块分析 3.2、编程技巧分析 四、设计中遇到的问题及解决方法 五、程序清单和程序注释,相关流程图 5.1程序清单和注释 5.2、实验室及流程图 六、收获与体会 七、参考文献

2 微机课程设计——交通灯控制系统 一、设计课程名称及要求 1.1、课程设计名称:交通灯控制功能设计。 1.2、课程设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口; (3)、程序功能要求:小键盘给定、数码管(屏幕)显示; (4)、同时具备急救车应急响应功能和时间倒计时显示功能。 、课程设计目的: 1.3《微机应用系统设计与综合实验(实践)》课程设计是自动化专业本科生必修的一门技术基础课。通过本课程设计,让学生对微机系统有一个较全面的理解,对典型数字接口电路的应用技术有一个较深入的掌握,并对应用系统进行硬件原理和软件编程进行分析、设计和调试,达到基本掌握简单微型计算机应用系统软硬件的设计方法,提高项目开发能力的目的。要求同学独立完成课题,写出课程设计说明书,画出电路原理图,说明工作原理,画出电路印制板图,编写设计程序及程序流程图。 二、设计思想和实施方案论述,硬件原理图及分析 2.1、设计思想和实施方案: 本设计使用了两种方案,一种是采用8086和8255A可编程并行接口实现了交通灯的设计,分别对主干道和支干道显示红灯和绿灯并且计时,采用8254定时器/计数器产生1HZ的脉冲,来控制8259产生中断,从而实现整个电路的设计。交通灯采用红绿两种发光二极管,主干道亮45s,支干道亮30s,计数的最后5s 中绿灯闪烁,用数码管倒计时显示时间,在发生紧急情况时,可以认为用开关控制主干道和支干道红灯均亮,禁止任何车通行。另一种方案是采用单片机来实现的,达到的效果和上述方案相同。单片机采用定时器T0和T1来触发中断,根据中断优先级的不同,从而可以处理不同的情况,交通灯也是采用红绿两种发光二极管,主干道亮45s,支干道亮30s,计数的最后5s中绿灯闪烁,用数码管倒计时显示时间,在发生紧急情况时,可以认为用开关控制主干道和支干道红灯均亮,禁止任何车通行,在故障清除后,断开开关可以使红绿灯和数码管回到原来的状态继续正常工作。 2.2、硬件原理图: (a)图是基于8086的设计,(b)图是基于单片机的设计。在(a)图中,可编程并行接口芯片8255A用作输出口,控制红绿灯的亮暗和数码管的计时,定时器/计数器8254采用级联的方式产生1HZ的脉冲,并将此方波接到中断器8259的IR1上,即每秒钟让中断控制器产生依次中断,从而可以执行中断子程序。在(b)图中,P0口用于接数码管,P1口用于控制红绿灯的亮暗,P2口用于选通数码管,P32为定时器T0的控制端,当P32口为高电平时,定时器T0才会工作,并且T0的中断优先级高于T1,所以可以用于控制紧急情况。P37口接扬声器,3

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯控制系统课程设计报告

单片机原理及应用 课程设计报告 设计题目:交通信号灯控制系统 班级:11级电气三班 指导教师:曹琳琳 学生姓名:张杰

哈尔滨远东理工学院机器人科学与技术学院 2013年5月30日 交通信号灯控制系统 [摘要]: 随着经济发展, 汽车数量急剧增加, 城市道路日渐拥挤, 交通拥塞已成为一个国际性的问题。因此, 设计可靠、安全、便捷的多功能交通灯控制系统有极大的现实必要性。设计中包括硬件电路的设计和程序设计两大步骤,对单片机学习中的几个重要内容都有涉足。对单片机初学者一定的帮助, 同时, 设计也可运用于简单的实时交通灯控制, 具有一定的现实意义。特别是街道各十字路倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全, 防止交通阻塞, 使城市交通井然有序, 交通信号灯在大多数城市得到了广泛应用。传统的交通信号灯控制一般采用电子线路和继电器控制, 结构复杂, 可靠性低, 故障率高, 因此研究计算机与自动控制技术, 设计新型的交通灯控制系统, 对缓解交通阻塞, 提高畅通率具有十分现实的意义。以下通过介绍一种基于8 0 51的交通灯控制系统, 东西、南北的通行时间可调, 能倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。 [关键词]:交通灯; 单片机; 自动控制; 程序设计;倒计时; 急车强通控制; 异常状况判别及处理。 1、交通灯系统工作原理及设计方案 1.1交通灯工作原理 采用单片机I/ O口P1直接和交通灯连接, 控制程序放在8051单片机ROM中。十字路口4组红、黄、绿交通灯中, 东西方向的交通灯工作状态相同, 可以用同一组I/O控制; 南北方向的交通灯工作状态也相同,也可以用同一组I/O控制,所以只需要用到单片机的P1.0到P1. 5控制。由于交通灯为发光二极管且阳极通过限流电阻和电源正极连接, 因此I/O输出低电

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

单片机课程设计 基于8255A的交通灯

目录 第一章引言 (1) 1.1设计目的 (1) 1.2 设计背景 (1) 1.3 设计要求 (2) 第二章系统硬件设计 (3) 2.1设计方案 (3) 2.2工作原理 (3) 2.3 硬件介绍 (4) 2.3.1 MSC-51芯片简介 (4) 2.3.2 8255A芯片 (5) 第三章系统软件设计 (7) 3.1 时间及信号灯的显示 (7) 3.2 延时设计 (8) 3.3 程序流程图 (9) 3.4 程序源代码 (10) 第四章系统调试结果 (15) 4.1 测试结果 (15) 小结 (16) 参考文献 (17)

第一章引言 1.1设计目的 1、通过交通信号灯控制系统的设计,掌握8255A并行口传输数据的方法,以控制发光二极管的亮与灭; 2、用8255作为输出口,控制十二个发光二极管熄灭,模拟交通灯管理. 3、通过单片机课程设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力; 4、完成控制系统的硬件设计、软件设计、仿真调试。 1.2 设计背景 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国内大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。在交通灯的通行与禁止时间控制显示中,通常要么东西、南北两方向各50秒;要么根据交通规律,东西方向60秒,南北方向40秒,时间控制都是固定的。交通灯的时间控制显示,以固定时间值预先“固化”在单片机中,每次只是以一定周期交替变化。但是,实际上不同时刻的车辆流通状况是十分复杂的,是高度非线性的、随机的,还经常受认为因素的影响。采用定时控制经常造成道路有效应用时间的浪费,出现绿灯方向车辆较少,红灯方向车辆积压。它不顾当前道路上交通车辆数的实际情况变化,其最大的缺陷就在于当路况发生变化时,不能满足司机与路人的实际需要,轻者造成时间上的浪费,重者直接导致交通堵塞,导致城市交通效率的下降。目前,有一种使用“模糊控制”技术控制交通灯的方法。能够根据十字路口两个方向上车辆动态状况,自动判断红绿灯时间间隔,以保证最大车流量,减少道口的交通堵塞。但是却不像定时控制,能用数字显示器显示当前灯色剩余时间,以便于驾驶员随时掌握自己的驾驶动作,及时停车或启动。

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

课程设计报告——交通信号灯控制器

目录 一、设计背景 (22) 二、任务和要求 (22) 三、总体设计方案 (33) 1.状态设置 (33) 2.系统框图 (33) a.系统总框图 (33) b.系统状态转换框图 (44) 四、单元电路设计 (44) 1.倒计时电路(定时电路) (44) 2.状态控制器(主控电路) (55) 3.交通灯显示电路、 (55) 4.数码管显示 (66) 5.置数变换电路 (66) 6.流量控制电路 (77) 五、总电路原理图 (88) 六、总电路图 (99) 七、原件清单 (1010) 八、电路连接与调试 (1010) 九、设计总结 (1010) 十、参考文献 (1111)

一、 设计背景 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 二、任务和要求 红绿灯交通信号系统外观示意图如图1所示。 1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上(主干道)绿灯亮的时间是45s ,另一个方向上(支干到)绿灯亮的时间是25s ,黄灯亮的的时间都是5s 。 3.要求加装一流量控制电路,使电路拥有流量控制功能,既:当一条路上无车,另一条路上有车时,这条路上的绿灯长亮,另一条路上红灯长亮。

三、总体设计方案 1.状态设置 状态1(00):主车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。 状态2(01):主车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行; 状态3(10):支车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行; 状态4(11):支车道的红灯亮,车道,人行道禁止通行;南北方向车的黄灯亮,车道,人行道缓行; 2.系统框图 a.系统总框图 流量控制电路

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

单片机课程设计基于8255A的交通灯

` 目录 第一章引言 (1) 1.1设计目的 (1) 1.2 设计背景 (1) 1.3 设计要求 (2) 第二章系统硬件设计 (3) 2.1设计方案 (3) 2.2工作原理 (3) 2.3 硬件介绍 (4) 2.3.1 MSC-51芯片简介 (4) 2.3.2 8255A芯片 (5) 第三章系统软件设计 (7) 3.1 时间及信号灯的显示 (7) 3.2 延时设计 (8) 3.3 程序流程图 (9) 3.4 程序源代码 (10) 第四章系统调试结果 (15) 4.1 测试结果 (15) 小结 (16) 参考文献 (17)

第一章引言 1.1设计目的 1、通过交通信号灯控制系统的设计,掌握8255A并行口传输数据的方法,以控制发光二极管的亮与灭; 2、用8255作为输出口,控制十二个发光二极管熄灭,模拟交通灯管理. 3、通过单片机课程设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力; 4、完成控制系统的硬件设计、软件设计、仿真调试。 1.2 设计背景 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。在交通灯的通行与禁止时间控制显示中,通常要么东西、南北两方向各50秒;要么根据交通规律,东西方向60秒,南北方向40秒,时间控制都是固定的。交通灯的时间控制显示,以固定时间值预先“固化”在单片机中,每次只是以一定周期交替变化。但是,实际上不同时刻的车辆流通状况是十分复杂的,是高度非线性的、随机的,还经常受认为因素的影响。采用定时控制经常造成道路有效应用时间的浪费,出现绿灯方向车辆较少,红灯方向车辆积压。它不顾当前道路上交通车辆数的实际情况变化,其最大的缺陷就在于当路况发生变化时,不能满足司机与路人的实际需要,轻者造成时间上的浪费,重者直接导致交通堵塞,导致城市交通效率的下降。目前,有一种使用“模糊控制”技术控制交通灯的方法。能够根据十字路口两个方向上车辆动态状况,自动判断红绿灯时间间隔,以保证最大车流量,减少道口的交通堵塞。但是却不像定时控制,能用数字显示器显示当前灯色剩余时间,以便于驾驶员随时掌握自己的驾驶动作,及时停车或启动。

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

相关主题
文本预览
相关文档 最新文档