当前位置:文档之家› 交通信号控制器的VHDL的设计说明

交通信号控制器的VHDL的设计说明

交通信号控制器的VHDL的设计说明
交通信号控制器的VHDL的设计说明

E D A 课程设计报告交通信号控制器的VHDL设计

班级:2009级通信工程一班

姓名:陈洁

学号:2009550606

指导老师:刘奇能

交通信号控制器的VHDL的设计

一、设计任务

模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。能达到的要求:

(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;

(2)交通灯红变绿是直接进行的,没有间隔时间;

(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;

(4)在任意时间,显示每个状态到该状态结束所需的时间。

主干道

图1 路口交通管理示意图

表1 交通信号灯的4种状态

二、设计原理

1、设计目的:

学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制

2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路

在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。

模块说明:

系统输入信号:

Clk: 由外接信号发生器提供256的时钟信号;

系统输出信号:full:产生每秒一个脉冲的信号;

(2)第二模块:计数秒数选择电路

计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。模块说明:

系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;

系统输出信号:tai:产生显示电路状态转换信号

gw:倒计数值秒数个位变化控制信号

sw:倒计数值秒数十位变化控制信号

sgw:次倒计数值秒数个位变化控制信号;

ssw:次倒计数值秒数十位变化控制信号;

(3)第三模块:红绿灯状态转换电路

本电路负责红绿灯的转换。

模块说明:

系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号;

tai: 接收计数秒数选择电路状态转换信号;

系统输出信号:zhuangtai: 负责红绿灯的状态显示。

(4)第四模块:时间显示电路

本电路负责红绿灯的计数时间的显示。

模块说明:

系统输入信号:gw:倒计数值秒数个位变化控制信号;

sw:倒计数值秒数十位变化控制信号;

sgw:次倒计数值秒数个位变化控制信号;

ssw:次倒计数值秒数十位变化控制信号;

系统输出信号:led7gw: 负责主红绿灯的显示秒数个位。

led7sw:负责主红绿灯的显示秒数十位。

sled7gw: 负责支干红绿灯的显示秒数个位。

s led7sw:负责支干红绿灯的显示秒数十位。三、设计方案

图2 交通信号灯控制器的原理框图

采用VHDL语言输入的方式实现交通信号灯控制器

间显示数据输

信号灯输出

图3 交通信号灯控制器程序原理框图

该程序由7个进程组成,进程P1和P2将CLK信号分频后产生1秒信号,进程P3、P4、P5构成两个带有预置数功能的十进制计数器,其中P4产生允许十位计数器计数的控制信号。进程P6实现状态转换和产生状态转换的控制信号,进程P7产生次态信号和信号灯输出信号,以及每一个状态的时间值。

四、VHDL程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY chenjie IS

PORT (clk:in std_logic;

led7gw:out std_logic_vector(6 downto 0);

led7sw:out std_logic_vector(6 downto 0);

sled7gw:out std_logic_vector(6 downto 0);

sled7sw:out std_logic_vector(6 downto 0);

zhuangtai:out std_logic_vector(5 downto 0));

END;

ARCHITECTURE one OF chenjie IS

TYPE tai IS (s0,s1,s2,s3);

SIgnal xiantai,citai:tai;

SIGNAL gw :STD_LOGIC_VECTOR(6 DOWNTO 0);

SIGNAL sw:STD_LOGIC_VECTOR(1 DOWNTO 0);

SIGNAL sgw :STD_LOGIC_VECTOR(6 DOWNTO 0);

SIGNAL ssw:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0);

SIGNAL ts :STD_LOGIC_VECTOR(6 DOWNTO 0);

SIGNAL TIME :STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN

PROCESS(clk)

BEGIN

IF clk'EVENT AND clk='1' THEN

IF TIME<"1000011" THEN

TIME<=TIME+1;

交通信号灯控制系统

株洲师范高等专科学校物理与电子工程系毕业论文 交通信号灯控制系统 姓名:汤知路 指导老师:肖利君 专业:应用电子技术 班级:07级应电班 学号:04207109 时间:2010-5-5至2010-5-28

摘要 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。本设计主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。应用的主要芯片有74LS163,74LS153,3-8译码器,555定时器电路等组成。 关键字:交通控制、交通灯、时间发生器、555定时器 Abstract With the development of society and economy, urban traffic problems and cause the attention of people. People, vehicles and road, the relationship of traffic management has become an important problem to be solved. Urban traffic control system is designed for urban traffic data monitoring and control traffic lights, traffic persuation computer integrated management system, it is the modern urban traffic control system is one of the most important parts of it. Cross the road traffic lights control is the key of traffic safety and roads. This design is mainly by the controller, timer and decoder and pulse signal generator, etc. Second is the system of pulse generator timer and standard of the clock signal controller, two groups of decoder output signal control signal, the driver circuit, drive signal after working controller is the main part of the system, by which control the timer and decoder of work. The main chip has 74LS163 application, 3-8, 74LS153 decoder, 555 timing circuits, etc.

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部)汽车学院 专业车辆工程 班级 学生 学号 6 月 29 日至 7 月 3 日共一周

目录 一、主要技术指标和要求 (2) 二、摘要 (2) 三、总体设计方案论证及选择 (2) 四、设计方案的原理框图、总体电路原理图及说明 1、设计方案的原理框图 (3) 2、总体电路原理图及说明 (4) 五、单元电路设计、主要元器件选择与电路参数计算 1、CP脉冲发生器电路 (5) 2、主控电路模板 (7) 3、组合逻辑电路模块 (8) 4、负载电路 (11) 六、收获与体会,存在的问题 (12) 七、参考文献 (13) 八、附件(元件材料清单、原理电路图或其他说明) (14)

一、主要技术指标和要求 (1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色放光二极管表示信号灯; (4)设计计时显示电路。 二、摘要 在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。 本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。通过这四个模块来实现对交通信号灯的控制。 三、总体设计方案论证及选择 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的

实训-交通信号灯控制系统

交通信号灯控制系统 重点内容: ●LED显示的驱动电路设计和LED显示输出扫描程序 ●按键输入电路和按键扫描程序 ●时间中断的使用。 一、实例说明 有如图所示的街区十字路口,需要为十字路口设计一个交通灯控制系统,该系统的要求如下:东南西北每个方向各有一个红绿灯组,每个红绿灯组包含红黄绿三种颜色的信号灯。 ●每天的23:00~次日凌晨的6:00,由于车流量较小,为了节省电能各个方向的红绿 灯出于休息状态(只亮黄灯)。 ●每天的6:00~23:00,红绿灯出于工作状态,两个互相垂直方向的绿灯交替点亮来 控制交通。交通灯工作状态过程如后所示,在某一个时间段东南方向红灯亮,西北方向绿灯亮;经过一定的时间后,西北方向该为黄灯闪烁,此时东南方向保持红灯; 西北方向红灯闪烁5秒后转为红灯,此时东南方向变为绿灯。依此东南方向的红绿灯和西北方向的红绿灯循环往复。

交通信号灯控制系统提供了一个控制面板,交警可以通过该面板可以调整红灯和绿灯亮的时间间隔、控制交通信号灯控制系统的开关等,从而达到控制交通流量的目的。交通信号灯控制系统的控制面板如下图所示,该面板共有6个LED,每3个LED 为一组,用于显示交通信号灯的点亮时长(单位为秒);面板上共有5个按键,用于控制交通灯控制系统的点亮时长和系统的开关。

二、硬件电路设计 1、LED输出电路 ●本案例中共有6个LED,为了充分利用ARM微处理器的IO资源,我们采用扫描的 方式。 ●LED的输出电路如上图所示,为了使电路比较清晰,这里只画出了其中两个LED。 每个七段码LED的a~g端口是并联,分别连接LPC2131的P1.19、P1.20、P1.21、P1.22、P1.23、P1.24和P1.25。而gnd端口各由一个管脚控制,6个七段码LED的gnd端分别连接LPC2131的P0.0、P0.1、P0.2、P0.3、P0.4和P0.5。当需要点亮其中某一个七段码LED时,将对应的gnd端口电平拉低,a~g端口根据需要显示的数字给不同的电平。这种连接方式在某一特定时刻只能点亮其中一个七段码LED,而其他的七段码LED处于熄灭状态。 ●为了让他们看上去是同时点亮并且能够显示不同的数值,我们利用人眼的视觉暂留 对他们进行扫描,在一个比较短的始终周期内(0.01s左右)轮流点亮6个七段码LED。 2、按键电路 ●本案例中的共有5个按键,如下图的控制面板所示,其中一个按键用来控制交通灯 控制系统的开关,而其他四个按键用来调整红灯和绿灯的持续时间 ●按键电路如下图所示,当没有任何按键被按下时,所有与按键连接的管脚为高电平;

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯程序

《PLC组态与维护》课程测试答题册 系别:动力工程系 专业:工业热工控制技术 班级: 姓名: 学号: 任课教师: 完成时间:2014年5月26日 目录

一、测试题目 (3) 二、I/O分配表 (4) 三、硬件接线图 (4) 四、控制时序图 (5) 五、顺序功能图 (5) 六、控制梯形图 (6) 七、答题心得 (15) 八、参考文献 (15)

一、测试题目 北向 南向 东向 西向 红 红 红 红 黄 黄 黄 黄 绿 绿 绿 绿 上图所示为双干道交通信号灯设置示意图。信号灯的动作受开关总体控制,按一下起动按钮,信号灯系统开始工作,并周而复始地循环动作;按一下停止按钮,所有信号灯都熄灭。 信号灯控制的具体要求见下表。 试编写信号灯控制程序,具体要求如下: 1.理清输入输出信号的关系和状态。 2.列出I/O 分配表。 3.绘制PLC 硬件接线图、控制时序图和顺序功能图。 4.设计控制梯形图程序

二、I/O 分配表 东西方向红灯 Q 4.3 BOOL 东西方向黄灯 Q 4.5 BOOL 东西方向绿灯 Q 4.4 BOOL 南北方向红灯 Q 4.0 BOOL 南北方向黄灯 Q 4.2 BOOL 南北方向绿灯 Q 4.1 BOOL 启动按钮 I 0.1 BOOL 停止按钮 I 0.2 BOOL 三、硬件接线图 DC24V +-HL1 HL2 HL3 HL4 HL5SB1 SB2 HL6 DC24V - + M 1M I0.0 I0.1 1L Q4.0 Q4.1 Q4.2 Q4.3 Q4.4Q4.5

四、控制时序图 红灯绿灯黄灯红灯绿灯 黄灯东西 南 北时间 45s T0 3S T12S T2 25S T3 3S T42S T5 五、顺序功能图 M0.0 M0.1M0.2 M0.3 M0.4 M0.5 M0.6 T0Q4.3Q4.1T0 M5.1T1 T1 Q4.2T2 T2 Q4.0Q4.4T3 T3 M5.2T4 T4 Q4.4T5 T5

交通信号灯控制系统课程设计报告

单片机原理及应用 课程设计报告 设计题目:交通信号灯控制系统 班级:11级电气三班 指导教师:曹琳琳 学生姓名:张杰

哈尔滨远东理工学院机器人科学与技术学院 2013年5月30日 交通信号灯控制系统 [摘要]: 随着经济发展, 汽车数量急剧增加, 城市道路日渐拥挤, 交通拥塞已成为一个国际性的问题。因此, 设计可靠、安全、便捷的多功能交通灯控制系统有极大的现实必要性。设计中包括硬件电路的设计和程序设计两大步骤,对单片机学习中的几个重要内容都有涉足。对单片机初学者一定的帮助, 同时, 设计也可运用于简单的实时交通灯控制, 具有一定的现实意义。特别是街道各十字路倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全, 防止交通阻塞, 使城市交通井然有序, 交通信号灯在大多数城市得到了广泛应用。传统的交通信号灯控制一般采用电子线路和继电器控制, 结构复杂, 可靠性低, 故障率高, 因此研究计算机与自动控制技术, 设计新型的交通灯控制系统, 对缓解交通阻塞, 提高畅通率具有十分现实的意义。以下通过介绍一种基于8 0 51的交通灯控制系统, 东西、南北的通行时间可调, 能倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。 [关键词]:交通灯; 单片机; 自动控制; 程序设计;倒计时; 急车强通控制; 异常状况判别及处理。 1、交通灯系统工作原理及设计方案 1.1交通灯工作原理 采用单片机I/ O口P1直接和交通灯连接, 控制程序放在8051单片机ROM中。十字路口4组红、黄、绿交通灯中, 东西方向的交通灯工作状态相同, 可以用同一组I/O控制; 南北方向的交通灯工作状态也相同,也可以用同一组I/O控制,所以只需要用到单片机的P1.0到P1. 5控制。由于交通灯为发光二极管且阳极通过限流电阻和电源正极连接, 因此I/O输出低电

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

课程设计报告——交通信号灯控制器

目录 一、设计背景 (22) 二、任务和要求 (22) 三、总体设计方案 (33) 1.状态设置 (33) 2.系统框图 (33) a.系统总框图 (33) b.系统状态转换框图 (44) 四、单元电路设计 (44) 1.倒计时电路(定时电路) (44) 2.状态控制器(主控电路) (55) 3.交通灯显示电路、 (55) 4.数码管显示 (66) 5.置数变换电路 (66) 6.流量控制电路 (77) 五、总电路原理图 (88) 六、总电路图 (99) 七、原件清单 (1010) 八、电路连接与调试 (1010) 九、设计总结 (1010) 十、参考文献 (1111)

一、 设计背景 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 二、任务和要求 红绿灯交通信号系统外观示意图如图1所示。 1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上(主干道)绿灯亮的时间是45s ,另一个方向上(支干到)绿灯亮的时间是25s ,黄灯亮的的时间都是5s 。 3.要求加装一流量控制电路,使电路拥有流量控制功能,既:当一条路上无车,另一条路上有车时,这条路上的绿灯长亮,另一条路上红灯长亮。

三、总体设计方案 1.状态设置 状态1(00):主车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。 状态2(01):主车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行; 状态3(10):支车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行; 状态4(11):支车道的红灯亮,车道,人行道禁止通行;南北方向车的黄灯亮,车道,人行道缓行; 2.系统框图 a.系统总框图 流量控制电路

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

交通信号灯_数字逻辑程序设计

石家庄经济学院 信息工程学院 数字逻辑课程设计报告 题目十字路口交通灯控制器姓名赵永超 学号409109070609 班号4091090706 指导老师 成绩 2010年6月 目录

1. 功能描述 (52) 2. 开发工具选择 (52) 3. 设计方案 (52) 4.模块描述 (52) 5. VHDL实现 (52) 6. 调试仿真 (52) 7. 课程设计回顾总结 (52) 参考文献 (52) 附录 (52)

1.功能描述 ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。信号灯在高电平时亮,低电平时熄灭。十字路口的交通灯控制电路功能: 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 2.开发工具选择 选择VHDL语言描述,利用Quartus II 5.1工具。 3. 设计方案 在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 (1)、首先对问题进行仔细分析 设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:

plc红绿灯实验报告

竭诚为您提供优质文档/双击可除plc红绿灯实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干

实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿

交通信号灯控制逻辑电路设计

数字电路课程设计任务书 一、题目 交通信号灯控制逻辑电路设计 二、目的与要求 1. 目的: ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 ·进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力为了确保十字路口的车辆顺利地通过,往往采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框图如图3-1所示。 2. 要求 基本要求: 设计一个十字路口交通信号灯控制器,其要求如下 : 1、它们的工作方式满足如图3-1 顺序工作流程。 图中设南北向的红、黄、绿灯分别为 NSR、NSY、NSG,东西向的红、黄、绿灯分别为EWR、EWY、EWG 。 图3-1 交通灯信号灯工作流程 2、两个方向的工作时序:东西向亮红灯时间应等于南北向亮黄、绿灯时间之和,南北

向亮红灯时间应等于东西向亮黄、绿灯时间之和。时序工作流程图见图3-3所示。 图3-2 时序图 图3-2中,假设每个单位时间为4s,则南北、东西向绿、黄、红灯亮时间分别28,4,32s,一次循环为64s。其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3、十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减 1 计数方式工作,直至减到数为“0”,十字路口红、绿灯交换,一次工作循环结束,再进入下一步某方向的工作循环。 例如:当南北向从红灯转换成绿灯时,置南北向数字显示为“32”,并使数显计数器开始减“1”计数,当减到绿灯灭而黄灯亮(闪耀)时,数显的值应为4,当减到“0”时,此时黄灯灭,而南北向的红灯亮;同时,使得东西向的绿灯亮,并置东西向的数显为“32”。 3.创新要求 在基本要求达到后,可进行创新设计。 三、主要内容及实现的功能 为了确保十字路口的车辆顺利地通过,往往采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框图如图3-3所示。

交通信号灯控制器设计报告

课程论文 (2012小学期) 论文题目:交通信号灯控制器设计报告课程名称:电子技术课程设计 任课教师:冯磊 班级:电子102 学号:1008140105 姓名:高华宇

交通信号灯控制器设计报告 第一章任务要求 (1)设计内容:在主道,支道的路口,设计交通信号等控制器; (2)基本要求:主道通行30秒,支道通行20秒,通行间隔5秒,主道优先为原则;显示通行时间; (3)附加要求:紧急情况处理:制动检测路口是否有等候车辆; (4 ) 设计时显示电路,计时方式尽量采用倒计时; 第二章原理框图 各模块间关系如下图所示: 第三章电路设计 3.1 方案原则 ◆满足题目的技术指导和设计要求 ◆简单明了,思路清晰 ◆设计新颖 ◆成本低,体积小,器件少 3.2 方案设计 总体思路如下图所示:

第四章体统实现 4.1 车辆检测电路 由两个单刀双掷开关代替车辆检测电路4.2 主控电路 (1)状态选择 电路中灯的四种状态如下: 状态(Q1Q2)主干道支干道 00 绿红 01 黄红 10 红绿 11 红黄(2)状态转换图

(3)芯片选择 使用双JK触发器CD4027 JK触发器特性方程:Q*=JO’+K’Q 且J1=Q2 K1=Q2 得J2=X2Q’2+(X1+X’2)Q2 K2=1 4.3 灯控电路 (1)真值表 (2)逻辑表达式 G=Q1’Q2’Y=Q1’Q2 R=Q1 g=Q1Q’2 y=Q1Q2 r=Q’1 4.4 置数电路 (1)原理 四种主状态与置入计数器的数呈一一对应关系。结合反馈控制部分,我们发现,由于芯片的延时效应,当主控时钟上升沿到来,主状态发生变化时,置数信号已经消失。所以要用前一时刻的主状态给后一时刻置数。 (2)逻辑表达式 2D=2C=1D=1B=0 2B=Qz 2A=Q1Q2 1C=2A=Q’zJz 4.5 时基电路 (1)原理 该部分由555定时器接成的多谐震荡器提供时钟信号CLK 周期公式:T=(R·+2R2)C1In2 选C1=100uF R1=1k 根据周期公式 可取R2=6.8k (2)电路图如图所示:

相关主题
文本预览
相关文档 最新文档