当前位置:文档之家› 数电大作业

数电大作业

数电大作业
数电大作业

数电大作业

数字电子技术基础大作业

姓名:

班级:

学号:

指导老师:

一、竞赛抢答计时器

一、设计电路分析

设计一个四人抢答器,抢答开始前,总复位按钮拨到”清零”状态,抢答器处于禁止状态,每个抢答者面前的闪灯熄灭,数码显示单元显示 0;当总复位按钮置于”开始”状态,抢答器开始工作。当一轮抢答完成时,数码显示单元显示首次按下按钮者的编号, 此时电路被锁存,再次按下其他按钮电路不工作。如果再次抢答必须将总复位按清零,开始下一次抢答操作。

本电路主要由编码锁存电路、触发控制电路及译码显示电路组成。当有选手抢答时,首先编码,同时锁存,阻止其他选手抢答,再经 3 线 8 线 BCD 译码器将数字显示在显示器上。

二、电路设计

1.抢答器编码锁存电路设计: 编码电路处于抢答器的最前级,用以将按钮输出的 0、1 信号编码成十进制信号输出以及区别是哪个抢答者最先按下的抢答按钮,采用 3 线 8 线优先编码器 74LS148N,由于只是四路输入,所以设计只用到 4、5、6、7 引脚,分别对应于抢答者 A、B、C、D。因为 74LS148N 的EI 引脚是低电平有效,因此可利用这个性质设计锁存电路,当第一次抢答经编码输出后将三个输出电平信号(分别为 001、010、011、100 对应于十进制 1、2、3、4)求或后得到一个高电平送给 74LS148N 的 EI 端,此时 74LS48N 停止再一次编码。即完成了锁存功能。

2.抢答器触发控制电路设计:

1)当总复位开关按钮按下时,锁存器处于复位状态,触发器输出端为全零,即 LED 数码显示零,抢答器准备好开始抢答。

2)当一次抢答过程完成时,触发器启动锁存功能,触发器输出端一直处于本次输入状态,数码管始终显示本次抢答状态,直至总复位开关按钮再一次按下止。根据以上要求,选用8 输入、8 输出触发器 74LS273N 构成触发控制电路。

3.译码显示电路设计:数码显示电路用于将首次按下按钮的选手的组号显示出来,因此需用到 7 段 LED 数码管(红色共阴极 7 段 LED 数码管),而驱动它必需用相应的BCD译码器(可驱动共阴极的四输入 BCD 译码器

4511BP_10V).

[注]:(1)4511BP_10V 译码器需用+12V 直流电源驱动。

(2)只有 LE 是 0,才有 ABCD 输入端决定显示字符。

(3)当 LT=0 时所有字段全点亮,即显示 8字符。

(4)当 LT=1 且 BI=0 时全部字符熄灭,无字符显示。

4.电子元件清单

74LS148N 74LS273N 7404N

4511BP-10V SEVEN-SEG-COM-K

7427N 7404N 电阻若干逻辑门若干

三、仿真电路图及结果

(1)仿真电路图

(2)仿真结果如下

〈1〉竞赛者A第一个按下按钮,他前面的灯闪烁一次,同时数码管显示编号1。

〈2〉竞赛者B第一个按下按钮,他前面的等闪烁一次,同时数码管显示编号2。〈3〉竞赛者C第一个按下按钮,他前面的等闪烁一次,同时数码管显示编号3。〈4〉竞赛者D第一个按下按钮,他前面的等闪烁一次,同时数码管显示编号4。〈1〉

〈2〉

〈3〉

〈4〉

选题二:流水灯电路的设计

一、设计电路分析

设计一个流水灯,在LM555CN的控制频率下,闭合开关,8个LED 发光管依次轮流发光,交替循环。

二、电路设计

1.电路运行设计:

LM555CN芯片构成的多谐控制电路中,输出信号的频率f=1.43/(R1+2R2)C1,可以通过改变接入的电阻和电容来改变输出信号的频率,从而改变8个LE D循环发光的速度。

74SL163的同步集成二进制计数器;74SL138为3线8线译码器,它有3个输入端、8个输出端,使8个LED发光二极管实现按一定方向的循环亮灭。由555定时器构成脉冲发生器,并由LED发光二极管监视电路是否工作;将74SL163接成二进制计数器,在QD端用LED发光二极管监视计数器工作状态;取74S L163的低三位QCQBQA接74SL138的地址控制端CBA,74SL138的8个输出端依次与排成8个LED 发光管相接,8个发光二极管按一定方向循环亮灭。根据74SL1383线-8线译码器的特点,可以通过改变LED接入74SL138芯片的输出端改变其发光的顺序或者循环的方式。

2.电子原件清单

LM555CN 74LLS163D 74LS138D 电容电阻若干 LED发光管 VCC(5V)电路开关若干

三、仿真电路及结果

四、(1)仿真电路

(2)仿真结果

〈1〉电路整体仿真结果

〈2〉各个Led发光管轮流发光(颜色有重复,只截取部分灯光图)

哈工大测试技术大作业(锯齿波)

Harbin Institute of Technology 课程大作业说明书 课程名称:机械工程测试技术基础 设计题目:信号的分析与系统特性 院系: 班级: 设计者: 学号: 指导教师: 设计时间:2013/07/05 哈尔滨工业大学

目录

1 题目: 写出下列信号中的一种信号的数学表达通式,求取其信号的幅频谱图(单边谱和双边谱)和相频谱图,若将此信号输入给特性为传递函数为 )(s H 的系统,试讨论信号参数的取值,使得输出信号的失真小。 (选其中一个信号) 1-1信号参数 2 幅频谱和相频谱 将其分解为三角函数表示形式的傅里叶级数, 式中00 2= =2w T π π 。 所以0001111 (t)=(sin(w t)+sin(2w t)+sin(3w t)+223 w π-…)

转换为复指数展傅里叶级数: 当n=0时,01 = =22 A c ,0=0? ; =1,2,3,n ±±±当… 时, 111 222n n c A n π=== , 3 频率成分分布 由信号的傅里叶级数形式及可以看出,锯齿波是由一系列正弦波叠加而成,正弦波的频率由0w 到20w ,30w ……,其幅值由A π 到2A π,3A π,……依次减小,各频率成分的相位都为0。 3.1 H(s)伯德图 3.1.1 一阶系统1 ()1 H s s τ= +伯德图 ` M a g n i t u d e (d B ) 10 10 10 10 10 10 P h a s e (d e g ) Bode Diagram Frequency (rad/s) 10 1010101010 P h a s e (d e g ) Bode Diagram Frequency (rad/s)

电大作业

江苏开放大学实践性环节考核作业 学号 姓名杨杰 课程代码 110056 课程名称中国政治思想史 评阅教师 第次任务 共次任务 江苏开放大学 请同学们完成一篇读书报告。 首先请同学们学习第十二章第一节林则徐的有关内容,完成一篇关于林则徐学习西方思想的读书报告。 题目自拟。 字数不能少于800字。 格式要求: 题目:四号楷体。 正文:小四号宋体。 关于林则徐学习西方思想的读书报告 今天学习有关林则徐的章节,深为林则徐的精神所吸引。 1840年是中国近代史的开端,也就是从这个时候开始,伴随着西方帝国主义国家大举入侵中国,西方资产阶级的民主政治思想和文化开始大批传入中国。经过鸦片战争

的打击,大清帝国的进步官员和知识分子们,开始放眼看世界,林则徐等一批官员和知识分子开始关注西方资本主义的政治制度,军事技术等,并著作了一批介绍西方地理、政治军事制度的书籍《海国图志》《瀛环志略》等。这当中包括西方政治制度中的选举制,三权分立制等民主制度,这些都是近代中国民主思想发展的开端。 由于鸦片战争的惨痛教训,清政府开始寻求救亡图存的政策。而鸦片战争中西方帝国主义国家的坚船利炮让清政府触动最大,也就是在这背景下,清政府内的洋务派在全国各地掀起的“师夷之长技以制夷”的学习西方的改良运动。林则徐魏源等人进一步的对西方的民主制度进行了宣传并开始大量翻译外国书籍,包括政治,法律、科技、文史等各方面,开始广泛学习西方的活动。具体如下: 一、林则徐学习西方思想产生背景 二、当世界资本主义迅速发展的时候,中国还是一个封建社会,处在满族建立的清王朝的统治下。封建专制主义统治下的中国,在乾、嘉以后,衰败日剧,闭关更甚。 三、清政府的闭关锁国,阻碍了中国造船航海业的发展,阻碍了国内手工业的扩大和商品经济的发展,姐碍了资本主义萌芽的成长。而且闭关政策进一步助长了清朝统治集团置身于世界局势之外安于现状顽周保守的虚骄心理,使清代的政治更加腐败。 四、这个时期的林则徐,也和闭关时代其他开明进步分子一样,尽管对中外关系和外国情形有所触及,但严密的闭关政策和闭目塞听的风气,使他对外部世界的认识不可避免的也同样受到严重的局限。但林则徐的可贵之处,就在于他发现自己的知识不足后,没有装腔作势,反而正视现实。勇于放下架子,探求新知。他在中华民族和西方资本主义侵略势力的矛盾斗争的强烈刺激下,适应救亡图存的形式需要,由经世派而成为“开眼派”,并进而成为“学习派”,实现从开眼看现实到开眼看世界再到学习西方的连续飞跃。林则徐之所以和顽固分子不同,而且高于其他开明进步的爱国者,实现思想的连续飞跃,还有其主观因素: 五、第一,把民族自尊建立在比较科学的基础上。实事求是的作风和勇于批判现实的精神,使他有可能通过睁眼现实,比较正确的认清国情,看到“天朝上国”的腐朽与落后。 六、第二,把抵抗外国侵略建立在比较科学的基础之上。寻求“制夷之策”和富强之道的迫切愿望,使他有可能通过开眼看世界,对西方的侵略性与先进性有比较全面的了解,从而勇于抛弃夜郎自大的传统观念。 七、第三,具有敢于“触讳”、追求真理的勇气和精神。 八、二、林则徐学习西方思想的主要内容 九、林则徐认识到,要有效抵抗西方侵略,必须学习西方。发出了像西方学习的先声。在中国近代史上,第一次组织翻译班子,不拘一格,选拔熟悉外情的人才,有计划地,大规模地搜集和编译外国书报。 十、林则徐把西方各国作为一面镜子,已经参照出中国非惟在地理方位上不在世界的中心,特别是在国力方面也并不是万国来朝的中央大国。在中国近代,坦率而明确地承认西方先进和中国落后的是从林则徐开始,这是艰难而珍贵的第一步。一个国家和民族,能够认识自己不容易,反省自己的落后更不容易,特别是在中国对于自己有一种“世界中心”感觉的传统氛围中做到这一点,更是加倍地艰难。林则徐如实承认中国在船炮武器方面“不如夷”了,但却没有因此而悲观气馁、甘居下游。他认识到,当时的军事侵略不是来自比自己落后的民族,而是来自拥有船坚炮利的西方先进国家。甚至在赴戍伊犁的途中,他还念念不忘建立一支“器良、技熟、坦壮、心齐。”的新式水师。 十一、由于对世界各国的基本情况和国际关系有比较具体了解,他有效地利用了美、法两国与英国在对华贸易方面存在的矛盾,利用了英美两国尤其是英国内部均有人

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

哈工大数字电子技术基础习题册答案7和10(修改)

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1 n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

HIT软件学院数据库实验1

哈尔滨工业大学 <<数据库系统>> 实验报告之一 (2014年度春季学期)

实验一交互式SQL语言 一、实验目的 ●掌握SQL语句的语法 ●着重熟悉掌握利用SQL编写Select查询的方法 ●熟悉SQLite的用法 二、实验内容 ●1) 双击打开sqlite3.exe,该程序为SQLite数据库管理系统 ●2) 利用.help查看SQLite支持的控制台系统命令。注意系统命令结尾处 没有结束符“;”

●3) 阅读.help中对.databases 命令的说明,并查看输出结果 ●4) 阅读.help中对.open命令的说明,并使用该命令创建一个数据库(名 字任意)后缀名统一为“.db3”(可以没有后缀名,但不推荐) ●5) 再次运行.databases 命令,与步骤3的输出结果对比 ●6) 阅读.help中对.tables命令的说明,并使用该命令查看当前数据库的所 有表 ●7) 创建满足要求的关系表(使用create table) ●表一 ●表名:College(存储大学的信息) ●属性:cName(字符串存储的大学名字),state(字符串格式的大学所在

州),enrollment(整数形式的大学入学学费) ●表二 ●表名:Student(存储学生的信息) ●属性:sID(整数形式的学号),sName(字符串形式的学生名字),GPA (小数形式的成绩),sizeHS(整数形式的所在高中规模) ●表三 ●表名:Apply(存储学生申请学校的信息) ●属性:sID(整数形式的学号),cName(字符串形式的大学名字),major (字符串形式的专业名字),decision(字符串形式的申请结果) ●8)利用.tables查看当前数据库中的表,对比步骤6中的运行结果 ●9) 利用如下命令,将存储在txt文件中的元组导入数据库的关系中●.separator "," ●.import dbcollege.txt College ●.import dbstudent.txt Student ●.import dbapply.txt Apply

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

运筹学大作业 哈工大

课程名称:对偶单纯形法 一、教学目标 在对偶单纯形法的学习过程中,理解和掌握对偶问题;综合运用线性规划和对偶原理知识对对偶单纯形法与单纯形法进行对比分析,了解单纯形法和对偶单纯形法的相同点和不同点,总结出各自的适用范围;掌握对偶单纯形法的求解过程;并能运用对偶单纯形法独立解决一些运筹学问题。 二、教学内容 1) 对偶单纯形法的思想来源(5min) 2) 对偶单纯形法原理(5min) 3) 总结对偶单纯形法的优点及适用情况(5min) 4) 对偶单纯形法的求解过程(10min) 5) 对偶单纯形法例题(15min) 6) 对比分析单纯形法和对偶单纯形法(10min) 三、教学进程: 1)讲述对偶单纯形法思想的来源: 1954年美国数学家C.莱姆基提出对偶单纯形法(Dual Simplex Method )。单纯形法是从原始问题的一个可行解通过迭代转到另一个可行解,直到检验数满足最优性条件为止。对偶单纯形法则是从满足对偶可行性条件出发通过迭代逐步搜索原始问题的最优解。在迭代过程中始终保持基解的对偶可行性,而使不可行性逐步消失。因此在保持对偶可行性的前提下,一当基解成为可行解时,便也就是最优解。 2)讲述对偶单纯形法的原理 A.对偶问题的基本性质 依照书第58页,我们先介绍一下对偶问题的六个基本性质: 性质一:弱对偶性 性质二:最优性。如果 x j (j=1...n)原问题的可行解,y j 是其对偶问题可 行解,且有 ∑=n j j j x c 1 =∑=m i i i y b 1 ,则x j 是原问题的最优解,y j 是其对偶问题的最

优解。 性质三:无界性。如果原问题(对偶问题)具有无界解,则其对偶问题(原问题)无可行解。 性质四:强对偶性。如果原问题有最优解,则其对偶问题也一定有最优解。 性质五:互补松弛型。在线性规划问题的最优解中,如果对应某一约束条件的对偶变量值为零,则该约束条件取严格等式;反之如果约束条件取严格不等式,则其对应的对偶变量一定为零。 性质六:线性规划的原问题及其对偶问题之间存在一对互补的基解,其中原问题的松弛变量对应对偶问题的变量,对偶问题的剩余变量对应原问题的变量;这些互相对应的变量如果在一个问题的解中是基变量,则在另一问题的解中是非基变量;将这对互补的基解分别代入原问题和对偶问题的目标函数有z=w. B.对偶单纯形法(参考书p64页) 设某标准形式的线性规划问题,对偶单纯形表中必须有c j -z j ≤0(j=1...n),但b i (i=1...m)的值不一定为正,当对i=1...m ,都有b i ≥0时,表中原问题和对偶问题均为最优解,否则通过变换一个基变量,找出原问题的一个目标函数值较小的相邻的基解。 3)为什么要引入对偶单纯形法 从理论上说原始单纯形法可以解决一切线性规划问题,然而实际问题中,由于考虑问题的角度不同,变量设置的不同,便产生了原问题及其对偶问题,对偶问题是原问题从另外一个角度考虑的结果。用对偶单纯形法求解线性规划问题时,当约束条件为“≥”时,不必引入人工变量,使计算简化。 例如,有一线性规划问题: min ω =12 y 1 +16y 2 +15 y 3 约束条件 ?? ?? ???≥=≥+≥+0)3,2,1(3522 423121 i y y y y y i

(完整版)哈工大matlab期末考试题试题及答案(95分)分解,推荐文档

建议收藏下载本文,以便随时学习! 春季学期MATLAB期末作业 学院:机电工程学院 专业:机械制造设计及其自动化 学号: 班号: 姓名: 我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

2013年春季学期 MATLAB 课程考查题 姓名: 学号: 学院: 机电学院 专业: 机械制造 一、 必答题:1.matlab 常见的数据类型有哪些?各有什么特点? 常量:具体不变的数字 变量:会根据已知条件变化的数字 字符串:由单引号括起来的简单文本 复数:含有复数的数据 2.MATLAB 中有几种帮助的途径? (1)帮助浏览器:选择view 菜单中的Help 菜单项或选择Help 菜单中的 MATLAB Help 菜单项可以打开帮助浏览器; (2)help 命令:在命令窗口键入“help” 命令可以列出帮助主题,键入 “help 函数名”可以得到指定函数的在线帮助信息; (3)lookfor 命令:在命令窗口键入“lookfor 关键词”可以搜索出一系列 与给定关键词相关的命令和函数 (4)模糊查询:输入命令的前几个字母,然后按Tab 键,就可以列出所有以 这几个字母开始的命令和函数。 注意:lookfor 和模糊查询查到的不是详细信息,通常还需要在确定了具体 函数名称后用help 命令显示详细信息。 3.Matlab 常见的哪三种程序控制结构及包括的相应的语句? 1.顺序结构:数据输入A=input(提示信息,选项) 数据输出disp(X) 数据输出fprintf(fid,format,variables) 暂停pause 或 pause(n) 2.选择结构: If 语句: if expression (条件) statements1(语句组1) else statements2(语句组2)建议收藏下载本文,以便随时学习!我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

数电大作业——数字抢答器

图 1 数字抢答器框图 数字抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。 (4)设计时序控制电路。 3 设计过程 3.1方案论证 数字抢答器总体方框图如图1所示。 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能: 一是分辨出选手按键的先后,并锁存优先抢答者的编 号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 工作过程:开关S 置于“清除”端时,RS 触发器的R 端均为0,4个触发器输出置0,使74LS148的ST =0,使之处于工作状态。当开关S 置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S 5),74LS148的输出,010012=Y Y Y ,0=EX Y 经RS 锁存后,1Q=1,BI =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q =1,使74LS148ST =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的,1=EX Y 此时由于仍为1Q =1,使ST =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。 (74 LS148为8线—3线优先编码器,表2.1为其真值表,图2.3为逻辑图。)

哈工大机械原理大作业凸轮

机械原理大作业二 课程名称: _______ 设计题目: 凸轮机构设计 院 系: ------------------------- 班 级: _________________________ 设计者: ________________________ 学 号: _________________________ 指导教师: ______________________ 哈尔滨工业大学 Harbin I nstituteof Techndogy

设计题目 如右图所示直动从动件盘形凸轮机构,选择一组凸轮机构的原始参数, 据此设计该凸轮机构。 凸轮机构原始参数 二.凸轮推杆升程、回程运动方程及推杆位移、速度、加速度线图 凸轮推杆升程运动方程:冷3唱—亦(中] 156 12 .. v 」1 - cos()] 兀1 5 374.4 2 12 ? a 1si n( ) 兀 1 5 % t 表示转角, s 表示位移 t=0:0.01:5*pi/6; %升程阶段 s= [(6*t)/(5*pi)- 1/(2*pi)*si n(12*t/5)]*130; hold on plot(t,s);

t= 5*pi/6:0.01:pi; %远休止阶段 s=130; hold on plot(t,s); t=pi:0.01:14*pi/9; %回程阶段 s=65*[1+cos(9*(t-pi)/5)]; hold on plot(t,s); t=14*pi/9:0.01:2*pi; %近休止阶段 s=0; hold on plot(t,s); grid on % t表示转角,令3 1=1 t=0:0.01:5*pi/6; %升程阶段v=156*1*[1-cos(12*t/5)]/pi hold on plot(t,v); t= 5*pi/6:0.01:pi; %远休止阶段

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

哈工大数控技术课大作业

《数控技术》课程大作业 院(系)机电工程学院 专 业机械制造及其自动化 姓 名 学 号 班 号 完成日 期

哈尔滨工业大学机电工程学院 第一作业:加工中心零件加工编程 一、目的和要求 本作业通过给定一台数控机床具体技术参数和零件加工工艺卡,使学生对数控机床具体参数、加工能力和加工工艺流程有直观了解和认识。同时,锻炼学生解决实际加工问题的能力。 1.了解加工中心的具体技术参数,加工范围和加工能力; 2.了解实际加工中,从零件图纸分析到制定零件加工工艺过程; 3.按照加工工艺编写指定的工序的零件数控加工程序。 二、数控机床设备 (1)机床结构 主要由床身、铣头、横进给、升降台、冷却、润滑及电气等部分组成。XKJ325-1数控铣床配用GSK928型数控系统,对主轴和工作台纵横向进行控制,用户按照加工零件的尺寸及工艺要求,先编成零件的加工程控,最后完成各种几何形状的加工。 (2)机床的用途和加工特点 本机床适用于多品种中、小批量生产的零件,对各种复杂曲线的凸轮、孔、样板弧形糟等零件的加工效能尤为显著;该机床高速性能好,工作稳定可靠,定位精度和重复精度较高,不需要模具就能确保零件的加工精度,减少辅助时间,提高劳动生产率。 (3)加工中心的主要技术参数 数控机床的技术参数,反映了机床的性能及加工范围。

进给切削进行速度mm/min 1-4000 快速移动速度m/min 15/10 刀库刀库容量把20(24) 刀具尺寸/重量mm/kg ?80×300/8 换刀时间s 气液动7S凸轮3S 加工能力钻孔能力mm ?32 镗孔能力mm ?80 攻丝能力mm M24 铣削能力Cm3/min 100 位置精度定位精度mm ±0.005 重复定位精度mm ±0.003 其它气源气压L/min,b ay 250 5-7 机床重量(净重/毛重) T 7.5/8.5 机床外型尺寸mm 2756×2696×3000 包装箱尺寸mm 3840×2545×3080 三、加工工艺制订 (一)加工零件 加工图1零件,材料HT200,毛坯尺寸长*宽*高为170×110×50mm,试分析该零件的数控铣削加工工艺、如零件图分析、装夹方案、加工顺序、刀具卡、工艺卡等,编写加工程序和主要操作步骤。 图1 加工零件图

数电大作业流水灯

数电大作业流水灯-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (+2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控 制 RS 触发器和放电管的状态。在电源与地之间加 上电压,当 5 脚悬空时,则电压比较器 C1的同相 输入端的电压为 2VCC /3,C2的反相输入端的电压 为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2的输出为 0, 可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1的输出为 0,C2的输出为 1, 可将 RS 触发器置 0,使输出为 0 电平。电路图如下:

○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲 序号,时钟脉冲外部接入,原理图如下图所示 ○374LS138译码器

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈尔滨工业大学机械课程机器人技术课程大作业

机器人技术课程作业——PUMA机器人 如上图所示的PUMA机器人,要求实现右图所示的运动,求解: ①建立坐标系; ②给出D-H参数表; ③推导正运动学、逆运动学; ④编程得出工作空间。 解: ①建立坐标系 a、建立原始坐标系

b、坐标系简化 ②给出D-H参数表 a、PUMA机器人的杆件参数 d0.6604m,1 d 0.14909m, 2 d 0.43307m, 4 d 0.05625m 6 a 0.4318m,a3 0.02032m 2 b、D-H参数表 关节i i i L i d i 运动范围 1 90 0 0 0 -160 o~160o o o 2 0 -90 0 d2 0.14909m -225 ~45 3 -90 0 a2 0.4318m 0 -45 o ~225o 4 0 -90 a3 0.02032m d4 0.43307m -110 o ~170o 5 0 90 0 0 -100 o ~100o 6 0 -90 0 d6 0.05625m -266 o ~266o

③推导正运动学、逆运动学 a、正运动学推导 c s0a i i i 1 由式i1 T i s c c c s d s i i1i i1i1i i1 s s c s c d c i i1i i1i1i i1 可得:0001 c s 1100c s 22 00c s0a 332 0 T 1s c 00 11 0010 1 T 2 001 d 2 s c 22 00 2 T 3 s c 00 33 0010 000100010001 c s0a 443 c s 55 00c s 66 00 3 T 4001 d 4 s c 44 00 4 T 5 0010 s c 55 005T 6 0010 s c 66 00 000100010001 由0012345 T T T T T T T,得机械手变换矩阵: 6123456 n o a p x x x x 0 T 6n o a p y y y y n o a p z z z z 0001 n c(c c c c s s c)s c s c c c s s s c s x236541641236516541641 n c(c c c s s s s)s c s s c c s c s c c y236541641236516541641 n s(c c c s s)c c s z23654642365 o c(s c c c c s c)s s s c s c s s c c s x236541641236516541641 o c(s c c s c s s)s s s s s s c c c c c y236541641236516451641 o s(s c c s s)c c s z23654642365 a c s c c s c c s s s x235412351541 a c s c s s c s s s c y235412351541 a c c s s c z2352354

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

相关主题
文本预览
相关文档 最新文档