当前位置:文档之家› 实验二运算器实验报告

实验二运算器实验报告

实验二运算器实验报告

实验二是运算器实验,旨在让我们了解计算机运算器的结构和工作原理。在本次实验中,我们通过搭建运算器电路并进行验证,深入理解了运算器的运作过程,为我们今后学习和应用计算机原理打下了基础。

一、实验原理

运算器是计算机中重要的组成部分,用于实现各种算术和逻辑运算。在本次实验中,我们首先学习了运算器的基本原理和功能,并了解了运算器中常用的逻辑门电路,如与门、或门、非门等。接着,我们根据原理和逻辑门电路的特点,搭建了一个16位的运算器电路,并测试了电路的逻辑功能和运算准确性。

二、实验步骤

1. 搭建16位运算器电路,包括与门、或门、非门等逻辑电路。

2. 对搭建的运算器电路进行测试,如测试与门、或门、非门电路的逻辑输出是否正确。

3. 实现加法和减法运算功能,测试运算器的运算准确性。

4. 对搭建的运算器电路进行进一步优化,提高电路工作效率和运算速度。

三、实验结果

经过实验测试,我们成功搭建了一个16位的运算器电路,并对电路进行了多项测试和验证。在逻辑输出方面,与门、或门、非门电路均能够正确输出逻辑值,验证了运算器电路的逻辑功能。在加法和减法运算方面,运算器电路能够正确实现运算功能,并输出正确的运算结果,这表明运算器电路的运算准确性良好。

四、实验总结

通过本次实验,我们深入理解了计算机中运算器的工作原理和结构,掌握了运算器电路的搭建和运作方法,并初步掌握了在运算器上实现加法和减法运算的原理和方法。此外,我们还了解了运算器电路的优化方法和技巧,提高了电路工作效率和运算速度。这些知识和技能对我们今后学习和应用计算机原理具有重要的指导意义。

实验二 运算器实验

南京工程学院 计算机工程学院 计算机组成与结构实验报告书 实验学生班级 K网络工程121 实验学生姓名王云峰 学号 240121525 实验地点信息楼A115 实验二运算器实验 同组同学李翔240121515(合作小组朱赛杰240121533)实验日期 11月27日实验仪器号 TEC-XP+14S022 一、实验目的 1.加深对Am2901运算器内部组成的了解, 掌握四片Am2901芯片间的连接关系, 以及它与有关外部逻辑电路的连接关系。 2.准确把握该运算器的控制与使用, 即掌握其运算与操作功能, 以及正确地为其提供全部控制信号及有关数据的手段与技术。 3.初步了解运算器在计算机整机中的作用。 二、实验内容 1.脱机方式下运算器的控制及运行设计控制信号序列,在脱机方式实现给定程序段的功能。记录按压START 前后的ALU的运算结果和状态标志。 2.联机方式下运算器的控制及运行在联机方式下,汇编并单步执行给定程序段,查看并记录每条指令执行后的运行结果。使用指令的单步骤执行方式,观察与运算器相关的控制信号的状态。 三、实验步骤与结果 脱机的运算器实验,在教学实验中实现如下7项操作功能: 预期功能实现方案 R0 ←1234 数据开关拨1234,B地址给0,D+0,结果送B口选的R0

R9 ←789F 数据开关拨789F,B地址给9,D+0,结果送B口选的R9 R9 ←R9-R0 B地址9,A地址给0,最低位进位给1,B-A,结果送B 口选的R9 R0 ←R0+1 B地址给0,最低位进位给1,B+0,结果送B口选的R0 R10←R0 B地址给A,A地址给0,A+0,结果送B口选的R10 逻辑右移 在有了预期功能和实现方案之后,要解决的具体问题,就是依据教学计算机的简明操作卡中的有关表格中规定的内容,找出实现每一操作功能要用到的控制码。请把表2-3中各组控制信号的正确的取值填写在相应位置,然后把运行结果的状态信息填入表2-4。

《计算机组成原理》运算器实验报告

一、实验名称:运算器实验 二、实验目的: 1、掌握运算器的组成及工作原理; 2、熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、掌握ALU 算术与逻辑运算的控制方法 4、了解多片ALU的组合扩展功能和进位链的实现 三、实验内容: 1、两16位操作数的算术运算及进位影响 2、两16位操作数的逻辑运算及进位影响 3、不同控制组合下的算术与逻辑运算的输出结果 四、实验设备: EL-JY-II型计算机原理实验系统,排线若干 五、实验步骤: 1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、系统上电,拨动清零开关,系统清零; 3、从数据输入开关电路输入第一个16位数据,开放数据总线,使数据进入暂存寄存器1; 4、从数据输入开关电路输入第二个16位数据,开放数据总线,使数据进入暂存寄存器2; 5、关闭数据输入开关,开启ALU输出,检查两个16位数据正确与否,有错通过步骤3改正; 6、设置方式控制M=0,拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 8、设置方式控制M=1,拨动功能选择端S3,S2,S1,S0 进行逻辑运算,记录ALU输出结果; 9、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 10、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。

六、实验结果 整个实验记录的实验结果如下: 表一:ALU 算术与逻辑运算实验结果

七、分析讨论 M是算术与逻辑运算的选择端决定了ALU 进行那类运算,S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和进位处理功能。灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如本ALU虽然没有求补功能但可以通过取反加1完成求补运算,向左移位的实现可采用自身相加(A+A=2A),此外选择M=1,S3S2S1S0=1111或S3S2S1S0=1010将操作数A或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。本次实验也涉及到了数据总线,总线有三个性质:公共性、驱动性和三态性,在数据输入时利用控制开关来控制三态,有效时输出数据,无效时数据输入缓冲器呈高阻(相当断开)与数据总线隔离;公共性是总线的最根本的属性,所有传输的数据都通过共享数据线分时完成的,何时完成靠控制信号来区分,如输入的两个16位数据就是通过数据总线分两次(分时)传送的。 八、心得体会 这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的。

计算机组成原理实验报告——2运算器实验 华北电力大学 李梅

科技学院 综合实验报告 ( 2011 -- 2012 年度第一学期) 名称:计算机组成原理综合实验题目:运算器实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:第十八周 成绩: 日期:年月

一、目的与要求 1.熟悉与深入理解4位的运算器芯片Am2901的功能和内部组成,运行中要求使用的 控制信号及其各自的控制作用; 2.熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各 数据位信号、各控制位信号的连接关系; 3.熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低 位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在 运算器芯片之内实现而要到芯片之外另外处理; 4.明确教学计算机的运算器部件,使用总计23位的控制信号就完全确定了它的全部 运算与处理功能,脱机运算器实验中可以通过24位的微型开关中的23位提供这些 控制信号,教学计算机正常执行指令时,这些控制信号必须改由控制器部件来提供。 在两种方式下,每一位(组)的控制功能是完全相同的。 二、实验正文 1.实验内容 1.1脱机运算器和联机运算器的区别和联系 运算器是计算机硬件系统传统的5大功能部件之一,承担执行运算和暂存运算数据的功能,通常由执行算术逻辑运算功能的ALU线路、暂存参加ALU运算的数据和中间运算结果的通用寄存器组、支持乘除法运算的专用寄存器三部分组成,三个部分之间通过多路选择器线路实现连接,从而构成一个完整的运算器部件。 TEC-XP16教学计算机的运算器部件,主体部分由4片4位长度的位片结构的运算器芯片Am2901组成,每片Am2901可以接收来自内部总线IB的4位输入数据,其4位输出都直接送到地址寄存器AR的不同字段(AR不属于运算器的组成部分, 图中用虚线框表示),并且经过支持三态功能的开关门电路送到内部总线IB。还要 使用MACH芯片内部的部分电路提供ALU最低位的进位输入信号和最高、最低位的移 位输入信号,使用一片GAL20V8实现4位的标志位寄存器FLAG,接收ALU输出的4 个标志位信号和来自内存堆栈区的4位数据(用于恢复现场状态信息),FLAG的4位输出可以经过一片带支持三态功能的开关门电路送到内部总线IB,用于保存现场状态信息到堆栈区。教学机运算器部件的组成线路和信息连接关系如图2.1所示。

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

华中科技大学 组成原理实验报告 运算器组成实验

课程实验报告课程名称:计算机组成原理 专业班级:信息安全1003班 学号:U********* *名:*** 同组成员:张源信 报告日期:2012年5月 计算机科学与技术学院

目录 一、实验名称 (3) 二、实验目的 (3) 三、实验设备 (3) 四、实验任务 (3) 五、预备知识 (4) 1、运算器的相关知识 (4) 2、注意事项: (4) 六、设计思路、电路实现与电路分析说明 (4) 1、任务分析 (4) 2、设计思路 (6) 3、电路实现与详细分析说明 (7) 七、实验结果的记录与分析 (9) 八、实验中碰到的问题及解决办法 (10) 九、收获与体会 (10) 十、参考书目 (11)

一、实验名称 实验名称:运算器组成实验 二、实验目的 1、掌握带累加器的运算器实验 2、掌握溢出检测的原理及实现方法 3、理解有符号数和无符号数运算的区别 4、理解基于补码的加\减运算实现原理 5、熟悉运算器的数据传输通路 6、利用74181和74182以及适当的门电路和多路选择器设计一个运算,要求支持有符号数和无符号数的运算支持补码加减法运算,支持有符号数溢出检测等功能 三、实验设备 JZYL—Ⅱ型计算机组成原理实验仪一台 芯片:74LS181运算器芯片2片 74LS373 8D锁存器3片 四、实验任务 自己设计一个电路和利用实验参考电路进行实验,实验要求先将多个运算数据事先存入存储器中,再由地址选中,选择不同的运算指令,进行运算,并将结果显示,还可以进行连续运算和移位,最后将最终结果写入到存储器中。

五、预备知识 1、运算器的相关知识 运算器是对数据进行加工处理的部件,它具体实现数据的算术运算和逻辑运算,所以又称算术逻辑运算部件,简称ALU ,它是中央处理器的重要组成部分。计算机中的运算器结构一般都包含如下几个部分:加法器、一组通用寄存器、输入数据选择电路和输出数据控制电路等。74LS181能执行16种算术运算和16种逻辑运算,当工作方式控制端(M )为低电平时执行算术运算,当工作方式控制端(M )为高电平时执行逻辑运算,运算功能由功能选择端(S0-S3)决定。 对74LS181的说明: 引出端符号: 30~A A 运算数输入端(低电平有效) 30~B B 运算器输入端(低电平有效) n CI 进位输入端 4 n CO 进位输出端 30~F F 运算输出端(低电平有效) M 工作方式控制 30~S S 功能选择 2、注意事项: 1)74LS181的输入和输出应按顺序,不能接乱或接反。 2)实验中的开关较多,实验时若记不清楚就容易因混乱而发生错误,因此对于用不到的引脚就不用接开关了。 六、设计思路、电路实现与电路分析说明 1、任务分析 方案选择:这个实验既可以自己设计电路,也可以参考老师所给的电路。我们选择了参考老师所给的参考电路。 芯片选择与分析:根据方案的示意图,方案需要用到两片74LS181芯片和三片

运算器实验(2)

四运算器实验(2) 09软件2班严小玲20091004171 一、实验名称:运算器实验 二、实验日期:2010年11月30日星期二 三、实验设备: 1. TEC-2数学计算机 2. 微型计算机 四、实验目的: 1. 深入了解AM2901运算器的功能和具体用法; 2. 熟悉AM2901芯片的功能及其控制信号的运用; 3. 学习用脱机方式观察指令的执行及对F标志的影响; 4. 观察测量并行和串行进位链的进位延迟时间。 五、实验原理: 1,并行和串行进位链的逻辑实现和特点 2,AM2901芯片的接入方式,采用了跨接线办法。 六、实验内容及步骤: 七、实验结果: (一)脱机下实现操作,联机下验证实验 1. 在脱机状态下,实现下列操作,并以表格形式记录ALU输出和SVZC标志(按STEP键前、后分别记录) 1)将FFFFH送入R0寄存器 2)将FFFFH送入R1寄存器 3)实现R1+R0→R1,即ADD R1,R0 4)将0001H送R2寄存器 5)实现R2-1→R2,即DEC R2 6)将000FH送R3 7)将FF00H送R0寄存器 8)将00FF送R1寄存器

9)实现R1与R0异或后送R1,即XOR R1,R0 实现上述操作,并填写实验结果中表(1) 表(1): 注意事项:注意记录按STEP之前和之后的结果 2. 在联机方式下,通过输入汇编指令来验证上面的结果。步骤:(1)输入汇编程序 A800: 800:MOV R0,FFFF MOV R1,FFFF ADD R1,R0 MOV R2,0001 DEC R2 MOV R3,000F MOV R0,FF00

MOV R1,00FF XOR R1,R0 RET (2)通过R指令,查看寄存器的结果,以及F结果的输出。 (二)联机下实现操作,脱机下验证实验 1、学习联机方式下的单步执行方法 (1)联机状态的设定 ①将FS1-FS4置为:1010,即从0地址起执行监控程序; ②将STEP/CONT=CONT (2)联机方式调试程序 在终端上用A命令输入如下程序: 800:MOV R0,0F0F MOV R1,0F0F MOV R2,000F MOV R3,0001 808:ADD R1,R0 SUB R1,R0 SHR R3 INC R2 RET

计算机组成原理运算器的实验报告

计算机组成原理运算器的实验报告 一.实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二.实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑 和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4 的矩阵(系统中是一个8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填 充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。 三.实验步骤与结果 (1)按图1-1-5连接实验电路,并检查无误。图中将用户需 要连接的信号用圆圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档, 开关KK1 、KK3 置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接 线,直到错误排除。然后按动CON单元的CLR 按钮,将运算器的A、B 和FC、FZ清零。 (4) 用输入开关向暂存器A 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1 ’,灭为‘0 ’。 ②置LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

运算器实验报告

运算器实验报告 实验背景 运算器是计算机中一种重要的基本逻辑电路,用于进行算术和逻辑运算。本次实验旨在设计一个基于逻辑门的4位二进制加法器,以实现两个4位二进制数的加法运算。 实验设备与材料 1. 逻辑门:AND门、OR门、XOR门、NOT门 2. 电路连接线 3. 电压源 4. 实验板 5. 4个开关、8个LED灯 实验原理 在二进制数的加法中,我们需要对每一位进行逐个相加,并考虑进位的情况。对于两个4位二进制数的加法,我们可以将其划分为4个单独的位加法运算,再结合进位的情况进行计算。 实验步骤 1. 连接电路:根据逻辑门的真值表和逻辑方程,使用电路连接线将逻辑门按照设计要求连接在一起。

2. 设计输入:使用4个开关分别表示两个4位二进制数的每一位输入。 3. 设计输出:使用8个LED灯分别表示两个4位二进制数的每一位输出和进位。 4. 进行实验:按照设计的输入情况,观察LED灯的亮灭情况,验证加法器的正确性。 5. 记录结果:将实验结果记录在实验报告中。 实验结果与分析 实验中,我们设计的4位二进制加法器成功实现了两个4位二进制数的加法运算。通过观察LED灯的亮灭情况,我们可以判断出加法器的计算是否正确。 在实验过程中,我们发现在某些情况下,LED灯的亮灭可能存在短暂的闪烁现象,这是因为逻辑门的切换速度限制导致的,不会影响加法器的正常运算结果。 实验总结 通过本次实验,我们深入理解了运算器的工作原理,并成功设计并实现了一个基于逻辑门的4位二进制加法器。在实验中,我们熟悉了逻辑门的连接方法,并通过观察LED灯的亮灭情况验证了加法器的正确性。

此外,在实验中我们也发现了逻辑门的切换速度限制会导致LED 灯的闪烁现象。在实际应用中,我们需要根据逻辑门的性能要求选择适当的门延迟时间,以保证运算器的稳定工作。 总体而言,本次实验对于我们理解运算器的工作原理,掌握逻辑门的应用具有重要意义。我们相信通过进一步的学习和实践,我们能够设计出更加复杂和高效的运算器,为计算机的发展做出更大的贡献。

实验二运算器实验

实验二运算器实验 实验二运算器am2901实验 该实验操作不需用到电脑,不需实现电脑和实验箱的连接,操作全部在实验箱上完成。 实验过程当中,必须认真展开,避免损毁设备,分析可能将碰到的各种现象,推论结 果与否恰当,记录运转结果。 实验目的: 1、深入细致介绍am2901运算芯片的功能、结构; 2、深入细致介绍4片am2901的级 联方式; 3、深化运算器部件的组成、设计、控制与使用等知识。 教学计算机的运算器部件主体由4片4位的运算器芯片am2901彼此串联形成,它输 入16位的数据运算的结果(用y则表示)和4个结果特征位(用cy,f=0000,over,f15则表示)。它的输出(用d则表示)就可以源自于内部总线。 确定运算器运算的数据来源、运算功能、结果处置,需要使用控制器提供的i8~i0、 b3~b0、a3~a0共17个信号。 运算器的输入轻易相连接至地址寄存器ar的输出插槽,用作提供更多地址总线的信 息来源。运算器的输入还经过两个8位的244器件的掌控(采用dc1译码器的ytoib#信号)被送至内部总线ib,用作把运算器中的数据或者运算结果载入内存储器或者输入输出USB 芯片。 运算器产生的4个结果特征位的信息需要保存,为此设置一个4位的标志寄存器flag,用于保存这4个结果特征信息,标志寄存器的输出分别用c、z、v、s表示。控制标志寄 存器何时和如何接收送给它的信息,需要使用控制器提供的sst2~sst0三位信号。 运算器还须要按照指令继续执行的建议,正确地获得最高位的位次输出信号,最高位 和最低位的移位输出信号,为此须要布局另一个shift的线路,在控制器提供更多的ssh 和sci1~sci0三位信号的掌控下,产生运算器最高位的位次输出信号,最高位和最低位的 移位输出信号。 相关器件: 4片am2901(alu)两片ar(74ls374)一片flag(gal20v8)一片shift(gal20v8) 2片244(alutoib,74ls244)2个12位微动开关(红色) 3个手动掌控信号内存芯片(hand,74ls240)

《计算机组成原理》运算器实验报告

一. 实验目的及要求 (1) 理解运算器的组成构造。 (2) 掌握运算器的工作原理。 二. 实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时承受来自 A 和B 的数据〔有些处理器体系构造把移位运算器放于算术和逻辑运算部件之前,如ARM〕,各部件对操作数进展何种运算由控制信号 S3…S0和CN来决定,任何时候,多

路选择开关只选择三部件中一个部件的结果作为 ALU的输出。假如是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置 ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用穿插开关矩阵来实现,穿插开关的原理如图1-1-2所示。图中显示的是一个 4X4 的矩阵〔系统中是一个 8X8 的矩阵〕。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的那么输出连接0 。(2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左 3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填充,详细由相应的指令控制。使用另外的逻辑进展移位总量译码和符号判别。 运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供〔时序单元的介绍见附录二〕,其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示〔以A0为例,其它一样〕。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。

《计算机组成原理》运算器实验报告

《计算机组成原理》运算器实验报告

一. 实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二. 实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号 S3…S0和CN来决定,任何

三. 实验步骤与结果 (1)按图1-1-5连接实验电路,并检查无误。图中将用户需要连接的信号用圆 圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档, 开关KK1 、KK3 置为 ‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即 关闭电源,重新检查接 线,直到错误排除。然后按动CON单元的CLR 按钮,将运算器的A、B 和FC、FZ清零。

(4) 用输入开关向暂存器A 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数 01100101 (或其它 数值),数据显示亮为‘1 ’,灭为‘0 ’。 ②置LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个 T4上沿,则 将二进制数01100101 置入暂存器 A 中,暂存器A 的值通过ALU单元的A7… A0八位 LED 灯显示。 (5) 用输入开关向暂存器B 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它 数值)。 ②置LDA=0,LDB=1,连续按动时序单元的 ST 按钮,产生一个T4上沿,则将 二进制数10100111 置入暂存器B 中,暂存器 B 的值通过 ALU单元的B7… B0八位 LED 灯显示。 (6) 改变运算器的功能设置,观察运算器的输出。置ALU_B=0、LDA=0、LDB=0, 然后按表 1-1-1置S3、S2、S1、S0和Cn的数值,并观察数据总线 LED 显示灯显示的结果。如置 S3、S2、S1、S0为0010 ,运算器作逻辑与运算,置 S3、S2、S1、S0为1001 ,运算器作加法运算。如果实验箱和PC联机操作,则可通过软件中的数据通路图来观测实验结果,方法是:打开软件,选择联机软件的“【实验】—【运算器实验】”,打开运算器实验的数据通路图,如图 1-1-6所示。进行上面的手动操作,每按动一次 ST按钮,数据通路图会有数据的流动,反映当前运算器所做的操作,或在软件中选择“【调试】—【单节拍】”,其作用相当于将时序单元的状态开关KK2 置为‘单拍’档后按动了一次ST按钮,数据通路图也会反映当前运算器所做的操作。重复上述操作,并完成表1-1-2。然后改变 A、B 的值,验证 FC、FZ的锁存功能。

实验二 加减法运算器的设计实验报告

加减法运算器的设计 实验报告

实验二加减法运算器的设计 一、实验目的 1、理解加减法运算器的原理图设计方法 2、掌握加减法运算器的VERILOG语言描述方法 3、理解超前进位算法的基本原理 4、掌握基于模块的多位加减运算器的层次化设计方法 5、掌握溢出检测方法和标志线的生成技术 6、掌握加减运算器的宏模块设计方法 二、实验任务 1、用VERILOG设计完成一个4位行波进位的加减法运算器,要求有溢出和进位标志,并封 装成模块。模块的端口描述如下: module lab2_RippleCarry 宽度可定制(默认为4位)的行波进位有符号数的加减法器。 #(parameter WIDTH=4) ( input signed [WIDTH-1:0] dataa, input signed [WIDTH-1:0] datab, input add_sub, // if this is 1, add; else subtract input clk, input cclr, input carry_in, //1 表示有进位或借位 output overflow, output carry_out, output reg [WIDTH-1:0] result ) 2、修改上述运算器的进位算法,设计超前进位无符号加法算法器并封装成模块。模块的端 口描述如下: module lab2_LookaheadCarry // 4位超前进位无符号加法器 ( input [3:0] a, input [3:0] b, input c0, //carry_in input clk, input cclr, output reg carry_out, output reg [3:0]sum ); 3、在上述超前进位加法运算器的基础上,用基于模块的层次化设计方法,完成一个32位

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

运算器实验报告

运算器实验报告 实验目的,通过设计和制作一个简单的运算器,加深对逻辑门原理和数字电路的理解,掌握数字电路的基本设计方法和实验技能。 一、实验原理。 1.逻辑门原理。 逻辑门是数字电路的基本组成部分,根据输入信号的不同组合产生不同的输出信号。常见的逻辑门有与门、或门、非门等。 2.数字电路设计。 数字电路是由逻辑门和触发器等数字元件组成的电路,能够对数字信号进行处理和运算。 二、实验器材。 1.电源。 2.逻辑门集成电路。 3.示波器。 4.万用表。 5.连接线。 6.电路板。 7.开关。 8.LED等。 三、实验步骤。

1.根据设计要求,选择适当的逻辑门集成电路,连接电源和示波器等设备。 2.按照逻辑门的真值表,确定输入信号的组合,观察输出信号的变化。 3.调试电路,确保逻辑门的输入输出符合设计要求。 4.将电路连接至LED等输出装置,观察LED的亮灭情况。 四、实验结果。 经过实验,我们成功设计并制作了一个简单的运算器。通过观察示波器和LED 等输出装置,我们可以清晰地看到输入信号和输出信号的变化情况。经过调试,我们确保了逻辑门的输入输出符合设计要求,实现了基本的逻辑运算功能。 五、实验分析。 本次实验通过设计和制作运算器,加深了对逻辑门原理和数字电路的理解。在实验过程中,我们发现了一些问题,并通过调试和改进,最终取得了成功。这些问题的解决过程,也让我们更加深入地理解了数字电路的基本设计方法和实验技能。 六、实验总结。 通过本次实验,我们不仅掌握了数字电路的基本设计方法和实验技能,还加深了对逻辑门原理的理解。在未来的学习和工作中,我们将继续努力,不断提高自己的实验能力,为将来的科研和工程实践打下坚实的基础。 七、实验感想。 本次实验让我们深刻体会到了实验的重要性,实践是检验理论的最好方法。通过亲自动手设计和制作运算器,我们不仅对数字电路有了更深刻的理解,还增强了实验技能和动手能力。希望在未来的学习和科研中,能够继续保持这种探索精神,不断提高自己的实验能力和创新能力。 八、参考文献。

相关主题
文本预览
相关文档 最新文档