当前位置:文档之家› 计算机组成原理基本运算器实验

计算机组成原理基本运算器实验

计算机组成原理基本运算器实验

本实验旨在通过设计和实现基本运算器来深入了解计算机组成

原理和数字电路设计的基本知识。实验将涉及到逻辑门、多路选择器、半加器、全加器等元件的使用和组合,以及运算器的功能、性能和实现方式的评估。通过本实验,学生将会掌握数字电路设计的基本方法和技能,了解计算机组成原理的基本知识,并提高创新思维和问题解决能力。实验内容包括设计和实现基本运算器的加法、减法、乘法、除法等运算功能,并通过仿真软件进行测试和调试。最终,学生将会理解基本运算器的工作原理,熟悉数字电路设计的流程,提高编程和调试能力,并为进一步学习计算机硬件和软件打下坚实的基础。

- 1 -

基本运算器实验

计算机科学与技术系 实验报告 专业名称_______ 计算机科学与技术_________ 课程名称________ 计算机组成原理__________ 项目名称________ 基本运算器实验__________ 班级_______________________________ 学号_______________________________ 姓名_______________________________ 同组人员_________________________________ 实验日期_________________________________ 一、实验目的与要求 实验目的 (1)了解运算器的组成结构 (2)掌握运算器的工作原理 实验要求 (1)实验之前,应认真准备,写出实验步骤和具体设计内容,否则实验效率会很低, 次实验时间根本无法完成实验任务;

(2)应在实验前掌握所以控制信号的作用,写出实验预习报告并带入实验室; (3)实验过程中,应认真进行实验操作,既不要因为粗心造成短路等事故而损坏设备,又要自习思考实验有关内容; (4)实验之后,应认真思考总结,写出实验报告,包括实验步骤和具体实验结果,遇到的问题和分析与解决思路。还应写出自己的心得体会,也可以对教学实验提出新的建议等。实验报告要上交老师。 二、实验逻辑原理图与分析 画实验逻辑原理图 逻辑原理图分析 上图为运算器原理图。如图所示运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A 和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM, 各部件对操作数进行何种运算由控制信号S3- S0和CN来决定(三选一开关),任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的 运算,还将置进位标志FC,在运算结果输出前,置ALU零标志FZ。ALU中所有模块集成在一片CPLD中。 ALU的输入和输出通过三态门74LS245连到CPU内总线上,另外还有指示灯标明进位标志FC和零标志F乙图中除T4和CLR其余信号均来自于ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4, CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供,其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B 为低有效,控制运算器的输出。其余为高有效。 暂存器A和暂存器B的数据以及进位标志FC零标志FZ和数据总线D7…D0能在LED灯上实时显示。亮表示1,灭表示0。LDA和LDB用于控制算逻部件的输入数据。满足LDA=1且T4传来脉冲信号时,数据将会传入暂存器A,同理当LEB=1且T4传来脉冲信号时,数据传入B暂存器。 逻辑运算部件由逻辑门构成,较为简单,可将两个数据按位进行与、或、异或,以及将一个数据的各位求非。有的运算器还能进行二值代码的16种逻辑操作。 算术运算部件最基本的操作是加法。一个数与零相加,等于简单地传送这个数。将一个数的代码求补,与另一个数相加,相当于从后一个数中减去前一个数。将两个数相减可以比较它们的大小。 移位运算部件采用的是桶形移位器,一般采用交叉开关矩阵来实现,每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1)对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0。

计算机组成原理-运算器实验

实验题目实验四运算器实验 实验类型验证性实验实验日期4月6日 题目来源1、必修 2、选修 3、自拟(设计) 4、专题 一、实验目的及要求 (1)掌握算术逻辑运算加、减、与等的工作原理。 (2)熟悉简单运算器的数据传送通路。 (3)验证实验台运算器的 8 位加、减、与、直通功能。 (4)按给定数据,完成几种指定的算术和逻辑运算。 二、实验仪器设备与软件环境 TEC-9 计算机组成原理实验台、PC机、组成原理实验环境 三、实验过程及实验结果分析 (包括实验原理、步骤、数据、图表、结果及分析。软件类实验应写出程序代码;硬件类实验画出电路原理图(或逻辑框图)、列出实验数据,并对实验结果进行分析)(1)根据个人理解,画出本次实验的电路逻辑框图。

向DR2存入55H,二进制为:0101 0101,设置开关,按QD. 实验结果: 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1

2、验证运算器的算术运算和逻辑运算功能。 置 SW_BUS = 0,关闭数据开关 SW0—SW7 对数据总线 DBUS 的输出;置ALU_BUS = 1,开启 ALU 对 DBUS 的输出。正确选择 S 3、S2、S1、S0,完成表 2的实验内容,记下实验结果(数据和进位)并对结果进行分析。 完成表2的部分实验,对A 取反。DR2中数据作为A,DR1中数据作为B ,进行算数和逻辑运算。 向DR2存入FFH,二进制为:1111 1111,设置开关,按QD. 并进行逻辑运算,对A 取反,将结果保存到寄存器堆R3中,设置开关,按QD. 实验结果:1111 1111 取反为00H 3、结合实验二内容,设计硬件连线和实验步骤,完成从寄存器堆中取数参与运算, 记下实验结果(数据和进位)并对结果进行分析。要求完成以下内容: (1)设置 R0 值为 01100011; (2)设置 R1 值为 10110100; (3)设置 R2 值为 111111111; 向R0存入63H,二进制为:0110 0011,设置开关,按QD. 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 1 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS

计算机组成原理-运算器实验

实验一运算器实验 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU 中的运算器来完成,运算器也称作算术逻辑部件ALU。首先安排基本运算器实验,了解运算器的基本结构。 1.1实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 1.2实验设备 PC机一台,Digilent Nexys 4TM开发板,Xilinx Vivado开发套件。 1.3实验原理 Digilent Nexys 4TM开发板的通用I/O设备电路图如图1.1所示:

图1.1Digilent Nexys 4TM开发板的通用I/O设备电路图如上所示,Nexys4 DDR板包括2个三色LED,16个滑动开关,6个按钮开关,16个单体LED和1个数字-8的七段显示器。为了防止粗心大意的短路(假如一个FPGA针脚分派到一个按钮开关或者滑动开关被粗心大意的定为输出时将发生短路)损害,按钮开关和滑动开关通过串联电阻连接到FPGA。5个按钮开关分派到1个“+”信号的配置是瞬时开关,在正常情况下,这些瞬时开关不用时产生低信号输出,被压时产生高信号输出。另一方面,“CPU RESET”红色按钮不用时产生高信号输出,被压时产生低信号输出。“CPU RESET”按钮常常在EDK(嵌入式开发套件)设计中用于重置进程,但你也可以把它当为常用按钮开关使用。滑动开关根据他们的位置产生固定的高或低信号输入。 16个单体高效LED通过330欧姆的电阻阳极连接到FPGA,所以当其各自I/O 针脚应用到逻辑高电压时他们应该是打开的。不被用户访问的额外LED表示电源,FPGA编程状态和USB和以太网端口状态。 控制显示模块的七段显示器的原理图如图1.2所示:

计算机组成运算器实验报告

计算机组成运算器实验报告 《计算机组成运算器实验报告》 摘要: 本实验旨在通过实验操作,深入理解计算机组成原理中的运算器部分。通过对运算器的组成结构和工作原理进行研究和实验,加深对计算机内部运算过程的理解。 一、实验目的 1. 了解运算器的基本组成结构和工作原理; 2. 掌握运算器的逻辑运算和算术运算的实现方法; 3. 通过实验操作,加深对计算机组成原理中运算器部分的理解。 二、实验设备 1. 计算机组成原理实验箱; 2. 逻辑门、加法器、寄存器等实验器件; 3. 万用表、示波器等实验仪器。 三、实验内容 1. 运算器的基本组成结构及功能分析; 2. 运算器的逻辑运算和算术运算实验操作; 3. 运算器的工作原理分析及实验验证。 四、实验结果与分析 通过实验操作,成功实现了运算器的逻辑运算和算术运算,并对其工作原理进行了深入分析。实验结果表明,运算器的逻辑运算和算术运算均能够按照设计要求进行,符合计算机组成原理中的相关理论知识。

五、实验结论 通过本实验,加深了对计算机组成原理中运算器部分的理解,掌握了运算器的基本组成结构和工作原理,并成功实现了相关实验操作。这对于进一步深入学习计算机组成原理和计算机系统结构具有重要意义。 六、实验感想 本实验让我对计算机组成原理中的运算器部分有了更深入的理解,也增强了我对计算机内部运算过程的认识。通过实际操作,我对计算机组成原理的相关知识有了更加直观的认识,对于今后深入学习计算机相关课程和进行科研工作具有积极的促进作用。 通过本次实验,我对计算机组成原理中的运算器部分有了更深入的理解,也增强了我对计算机内部运算过程的认识。通过实际操作,我对计算机组成原理的相关知识有了更加直观的认识,对于今后深入学习计算机相关课程和进行科研工作具有积极的促进作用。

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

计算机组成运算器实验报告

计算机组成运算器实验报告 计算机组成运算器实验报告 引言: 计算机是当今社会不可或缺的工具,而计算机的核心部件之一就是运算器。运 算器是计算机中负责执行算术和逻辑运算的部件,它的设计和实现对计算机的 整体性能起着至关重要的作用。本实验旨在通过设计和搭建一个简单的运算器,深入理解和掌握计算机组成原理。 一、实验目的 本次实验的主要目的是通过搭建一个基于逻辑门的8位运算器,了解和掌握运 算器的基本原理和设计方法。具体目标如下: 1. 学习和理解运算器的基本功能和工作原理; 2. 掌握逻辑门的基本知识和使用方法; 3. 设计和实现一个具有加法、减法、乘法和除法功能的8位运算器; 4. 验证运算器的正确性和可靠性。 二、实验原理 1. 运算器的功能 运算器是计算机中执行算术和逻辑运算的核心部件,其主要功能包括加法、减法、乘法和除法等。通过逻辑门的组合和控制信号的输入,可以实现各种不同 的运算操作。 2. 逻辑门的基本原理 逻辑门是运算器中最基本的构建单元,它根据输入信号的不同,产生相应的输 出信号。常见的逻辑门包括与门、或门、非门等。通过逻辑门的组合和级联,

可以构建出更复杂的逻辑电路,实现各种逻辑运算。 3. 运算器的设计方法 运算器的设计方法主要包括两种:组合逻辑设计和时序逻辑设计。组合逻辑设计是指根据输入信号的组合,直接输出相应的结果。时序逻辑设计是指根据输入信号的变化过程,按照一定的时序规则输出结果。 三、实验过程 1. 运算器的整体设计 根据实验要求,我们需要设计一个具有加法、减法、乘法和除法功能的8位运算器。首先,我们需要确定运算器的输入和输出信号的格式和位数。然后,根据运算操作的特点,选择合适的逻辑门进行组合和级联,实现各种运算操作。 2. 逻辑门的选择和连接 在设计运算器时,我们需要根据实际需求选择适当的逻辑门。例如,对于加法操作,我们可以选择全加器进行设计;对于减法操作,可以选择加法器和取反器进行设计;对于乘法和除法操作,可以选择移位寄存器和与门进行设计。通过逻辑门的连接和控制信号的输入,可以实现各种运算操作。 3. 运算器的验证和测试 在完成运算器的设计和搭建后,我们需要进行验证和测试,确保其功能的正确性和可靠性。可以通过输入不同的测试数据,观察输出结果是否符合预期。同时,还可以通过性能测试和稳定性测试,评估运算器的性能和可靠性。 四、实验结果与分析 经过反复的设计和调试,我们成功搭建了一个具有加法、减法、乘法和除法功能的8位运算器。在测试过程中,我们输入了不同的测试数据,并观察了输出

《计算机组成原理》实验1寄存器试验,2运算器试验

实验指导书 课程:计算机组成原理实验教师: 班级:

第一章系统概述1.1 实验系统组成

第二章基础模块实验 实验一寄存器实验 实验目的: 熟悉试验仪各部分功能。 掌握寄存器结构、工作原理及其控制方法。 实验内容: 利用实验仪开关区上的开关sk23-sk16提供数据,其它开关做为控制信号,将数据通过DBUS写入OUT 寄存器,并将OUT寄存器的内容送往扩展区通过数码管和发光二极管显示。 实验原理: 实验箱用74HC273 来构成寄存器。 (1)74HC273的功能如下: (2)实验箱中74HC273的连接方式: (3)实验逻辑框图

1 2、打开实验仪电源,按CON单元的nRST按键,系统复位;如果EXEC键上方指示灯不亮,请按一次 EXEC键,点亮指示灯,表示实验仪在运行状态。 3、利用开关和控制信号将数据通过DBUS写入OUT寄存器,并将OUT寄存器的内容送往扩展区通过数 码管和发光二极管显示。并写出将数据5FH写入OUT寄存器的操作过程。

实验二运算器实验 实验目的: 了解运算器的组成结构;掌握运算器的工作原理和控制方法。 实验内容: 利用实验仪提供的运算器,通过开关提供数据信号,将数据写入寄存器A和寄存器B,并用开关控制ALU的运算方式,验证运算器的功能。 实验原理: (1)实验逻辑框图: 信号说明: IN0~IN7:ALU数据输入信号 ALU_D0~ALU_D7:ALU数据输出信号 :寄存器A写信号,低电平有效。当T1节拍信号到来,该信号有效时,IN0~IN7数据可以写入 寄存器A。 :寄存器B写信号,低电平有效。当T2节拍信号到来,该信号有效时,IN0~IN7数据可以写入寄存器B。 :ALU计算结果读出信号,当T3节拍信号到来,该信号有效时,ALU计算结果送往 ALU_D0~ALU_D7。 S3~S0,CN_I:ALU运算控制信号,控制ALU的运算方法。 T1,T2,T3:三个节拍信号,高电平有效,由con区的uSTEP按键控制,在运行状态时,依次按下uSTEP 键会依次发出T1、T2、T3节拍。 FC,FZ,FS,I:进位标志FC、零标志FZ、正负标志FS,中断允许标志I (2)ALU功能表

计算机组成原理实验-运算器组成实验报告范文

计算机组成原理课程实验报告 9.3 运算器组成实验 姓名:曾国江 学号: 系别:计算机工程学院 班级:网络工程1班 指导老师: 完成时间: 评语: 得分:

曾国江—计算机组成原理实验报告 9.3运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 - 1 -

ALU-BUS# DBUS7 DBUS0 Cn# C 三态门(244) 三态门(244)ALU(181) ALU(181) S3S2S1S0M A7A6A5A4F7F6F5F4 F3F2F1F0B3B2B1B0 Cn+4 Cn Cn Cn+4 LDDR2T2 T2 LDDR1LDRi T3 SW-BUS# DR1(273) DR2(273) 双端口通用寄存器堆RF (ispLSI1016) RD1RD0RS1RS0WR1WR0 数据开关(SW7-SW0)数据显示灯 A3A2A1A0B7B6B5B4 图3.1 运算器实验电路 LDRi T3A B 三态门 R S -B U S # 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF 中。 RF(U30)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF 中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B 端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A 端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi 是写入控制信号,当LDRi =1时,数据总线DBUS 上的数据在T3写入由WR1、WR0指定的通用寄存器。RF 的A 、B 端口分别与操作数暂存器DR1、DR2相连;另外,RF 的B 端口通过一个三态门连接到数据总线DBUS 上,因而RF 中的数据可以直接通过B 端口送到DBUS 上。

运算器实验实验报告(计算机组成原理)

运算器实验实验报告(计算机组成原理) 西安财经学院信息学院 《计算机组成原理》 实验报告 实验名称 运算器实验 实验室 实验楼 418 实验日期 第一部分 8 位算术逻辑运算实验一、实验目的 1、掌握算术逻辑运算器单元 ALU(74LS181)的工作原理。 2、掌握简单运算器的数据传送通路组成原理。 3、验证算术逻辑运算功能发生器 74LSl8l 的组合功能。 4、按给定数据,完成实验指导书中的算术/逻辑运算。 二、实验内容 1 、实验原理实验中所用的运算器数据通路如图 1-1 所示。其中运算器由两片 74LS181以并/串形成 8 位字长的 ALU 构成。运算器的输出经过一个三态门 74LS245(U33)到内部数据总线 BUSD0~D7 插座 BUS1~2 中的任一个(跳线器JA3 为高阻时为不接通),内部数据总线通过 LZD0~LZD7 显示灯显示;运算器的两个数据输入端分别由二个锁存器 74LS273

(U29、U30)锁存,两个锁存器的输入并联后连至内部总线BUS,实验时通过 8 芯排线连至外部数据总线 E_D0~D7 插座 E_J1~E_J3 中的任一个;参与运算的数据来自于 8 位数据开并KD0~KD7,并经过一三态门 74LS245(U51)直接连至外部数据总线 E_D0~E_D7,通过数据开关输入的数据由 LD0~LD7 显示。 图 1-1 中算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M 并行相连后连至 6 位功能开关,以手动方式用二进制开关 S3、S2、S1、S0、CN、M 来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号 LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关 LDDR1、LDDR2、ALUB、SWB 来模拟,这几个信号姓名学号 班级 年级 指导教师 李 芳 有自动和手动两种方式产生,通过跳线器切换,其中 ALUB`、SWB`为低电平有效,LDDR1、LDDR2 为高电平有效。 另有信号 T4 为脉冲信号,在手动方式下进行实验时,只需将跳线器 J23 上T4 与手动脉冲发生开关的输出端 SD 相连,按动手动脉冲开关,即可获得实验所需的单脉冲。

计算机组成原理实验报告(基本运算器实验:移位运算)

池州学院数学计算机科学系实验报告 专业:计算机科学与技术班级:实验课程:计算机组成原理 姓名:学号:实验室:硬件实验室 同组同学: 实验时间:2013年4月3日指导教师签字:成绩: 基本运算器实验:移位运算 一实验目的和要求 1.了解运算器的组成结构 2.掌握运算器的工作原理 二实验环境 PC机一台,TD-CMA 实验系统一套 三实验步骤及实验记录 (1)按图连接电路。 2.将时序与操作台单元的开关KK2 置为‘单拍’档, 开关KK1 、KK3 置为‘运行’档。

3.接好图后,如果实验箱和 PC 联机操作,则可通过软件中的数据通路图来观测实验结果 方法是:打开软件,选择联机软件的“【实验】—【运算器实验】”,打开运算器实验的数据通路图,如图 1-1-6 所示。进行上面的手动操作,每按动一次 ST 按钮,数据通路图会有数据的流动,反映当前运算器所做的操作,或在软件中选择“【调试】—【单节拍】”,其作用相当于将时序单元的状态开关 KK2 置为‘单拍’档后按动了一次 ST 按钮,数据通路图也会反映当前运算器所做的操作。 4. 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接 线,直到错误排除。然后按动 CON 单元的 CLR 按钮,将运算器的 A、B 和 FC、FZ 清零。(如上图) 5. 用输入开关向暂存器 A 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数,数据显示亮为‘1 ’,灭为‘0 ’。 ②置LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个 T4上沿,则将二进制数置入暂存器 A 中,暂存器A 的值通过ALU单元的A7…A0八位 LED 灯显示。 6.用输入开关向暂存器B 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数。 ②置LDA=0,LDB=1,连续按动时序单元的 ST 按钮,产生一个T4上沿,则将二进制数置入暂存器B 中,暂存器 B 的值通过 ALU单元的B7…B0八位 LED 灯显示。 7. 改变运算器的功能设置,观察运算器的输出。置 ALU_B=0、LDA=0、LDB=0 ,然后按表 1-1-1 置 S3、S2、S1、S0 和 Cn 的数值,并观察数据总线 LED 显示灯显示的结果。

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

运算器组成原理实验报告

运算器组成原理实验报告 运算器组成原理实验报告 一、引言 运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。本实验旨 在通过实际操作,深入了解运算器的组成原理和工作机制。 二、实验目的 1. 理解运算器的基本概念和功能。 2. 掌握运算器的组成原理及其实际应用。 3. 学会使用逻辑门电路构建简单的运算器。 三、实验器材与步骤 1. 实验器材: - 逻辑门电路芯片(如AND、OR、NOT等); - 运算器实验板; - 连接线等。 2. 实验步骤: 1) 连接逻辑门电路芯片到运算器实验板上的相应位置。 2) 根据实验要求,设置逻辑门的输入信号。 3) 运行实验板,观察输出结果。 4) 记录实验数据,并进行分析。 四、实验原理 运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器 加法器是运算器的基本组成部分,用于实现数字的加法运算。它由多个逻辑门电路组成,其中包括半加器和全加器。半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。 2. 减法器 减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。它通过将减法转化为加法运算来实现。减法器的输入包括被减数、减数和借位,输出为差值。 3. 乘法器 乘法器用于实现数字的乘法运算。它通过多次的加法运算来实现乘法。乘法器的输入包括被乘数和乘数,输出为积。 4. 除法器 除法器用于实现数字的除法运算。它通过多次的减法运算来实现除法。除法器的输入包括被除数和除数,输出为商和余数。 五、实验结果与分析 根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。通过分析实验数据,我们得出了以下结论: 1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。 2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。 六、实验总结

实验一 基本运算器实验

山西大学计算机与信息技术学院 实 验 报告 姓 名 学 号 专业班级 2011级 计算机科学与技术 课程名称 计算机组成原理课程设计 实验日期 成 绩 指导教师 批改日期 实验名称 实验一 基本运算器实验 一、实验目的: (1)了解运算器的组成结构 (2)掌握运算器的工作原理 二、实验内容: 1、 实验原理: 本实验的原理如图 1-1 所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器 A 和暂存器 B ,三个部件同时接受来自 A 和 B 的数据,各部件对操作数进行何种运算由控制信号 S3…S0 和 CN 来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为 ALU 的输出。如 果是影响进位的运算,还将置进位标志 FC ,在运算结果输出前,置 ALU 零标志。ALU 中所有模块集成在一片 CPLD 中。 运算器部件由一片 CPLD 实现。ALU 的输入和输出通过三态门 74LS245 连到 CPU 内总线 上,另外还有指示灯标明进位标志 FC 和零标志 FZ 。图中除 T4 和 CLR ,其余信号均来自于 ALU 单元 的排线座,实验箱中所有单元的 T1、T2、T3、T4 都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON 单元的 CLR 按钮。T4 由时序单元的 TS4 提供 ,其余控制信号均由 CON 单元的二进制数据开关模拟给出。控制信号中除 T4 为脉冲信号外,其余均为电平信号,其中 ALU_B 为低有效,其余为高有效。 表 1-1 运算器逻辑功能表(表中“X ”为任意态,下同) 运算类型 S3 S2 S1 S0 CN 功 能 能 逻辑运算 0000 X F=A (直通) 0001 X F=B (直通) 0010 X F=AB (FZ ) 0011 X F=A+B (FZ )

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

计算机组成原理实验

计算机组成原理实验指导 实验一运算器部件实验 一、实验目的 ⒈掌握简单运算器的数据传输方式。 ⒉验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。三、实验原理 实验中所用的运算器数据通路如图2-1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

图2-1-1运算器电原理图 图2-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接时序启停单元时钟信号“”来获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧的复位按钮使系统进入初始待令状态,在LED显示器闪动位出现“P.”的状态下,按【增址】命令键使LED显示器自左向右第4位切换到提示符“L”,表示本装置已进入手动单元实验状态,在该状态下按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、LDDR2、ALU-B、SW-B、S3、S2、S1、S0、、M各电平控制信号用位于LED显示器上方的26位二进制开关来模拟,均为高电平有效。 四、实验连线 图2-1-2实验连线示意图 按图2-1-2所示,连接实验电路: ①总线接口连接:用8芯扁平线连接图2-1-2中所有标明“”或“”图案的总线接口。 ②控制线与时钟信号“”连接:用双头实验导线连接图2-1-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图2-1-3所示系统用位于实验系统“二进制开关单元”的26只拨动开关来模拟与微控制器相对应的控制信号。用手动加载正逻辑控制电平(即高电平信号“H”)和按【单步】命令键产生的单周期4拍时序信号T1、T2、T3、T4的方法来实现和完成各单元实验所需的控制信号操作。

相关主题
文本预览
相关文档 最新文档