当前位置:文档之家› 高精度频率计

高精度频率计

高精度频率计
高精度频率计

联系说明

本电子文档是MT020学习开发板的相关设计文档之一,需要购买C8051F020学习开发板才拥有此资料,请尊重作者劳动成果,未经作者同意,请勿用于商业目的。

MT020学习开发板,MT-UDA仿真器购买地址:

淘宝店:https://www.doczj.com/doc/a519076437.html,

QQ:331215223

E-MAIL:viewmicro@https://www.doczj.com/doc/a519076437.html,

目录

摘 要 (3)

关键词: (3)

1引 言 (3)

2总体方案选择与论证 (3)

2.1总方案比较 (3)

2.2测频方案比较 (4)

3 系统的硬件设计 (6)

3.1信号整形电路 (6)

3.2测频电路 (6)

4 系统软件设计 (7)

4.1640480液晶程序流程图 (7)

5 软硬件连调与系统测试数据 (7)

5.1子程序调试 (7)

5.2LCD显示调试 (8)

5.3频率计功能测试及总体调试 (8)

5.4数据测试 (8)

6 技术指标与系统误差分析 (8)

6.1主要技术指标: (8)

6.2系统误差分析 (9)

参考文献 (9)

附录1 系统原理图 (10)

附录2 程序(参考附件) (10)

高精度频率计

摘 要:本系统基于C8051F020实现等精度的频率测量,利用该单片机灵活的控制功能及速度优势,大大提高了性能和测量精度。以往的测频都是采用高频段直接计数、低频段间接测周的方法,其测量精度往往会随着被测频率的改变而受到影响。为了克服测频中高低频段测量精度不等的缺陷,采用双计数器设计,实现等精度的频率测量。等精度的测量方法不但具有较高的测量精度,而且在整个测频区域内保持恒定的测量精度。

关键词:频率计;等精度;C8051F020;

1引 言

频率计是计算机、通讯设备、音视频等科研生产领域不可缺少的测量仪器,测频一直以来都是电子和通讯系统工作的重要手段之一。高精度的测频仪有着广泛的市场前景。以往的测频仪都是在低频段采用测周的方法、高频段采用测频的方法,前者对测高频有较高精度,而后者对测低频有较高精度。然而往往由于被测频率事前不可预测,因此测量精度和测量方法事前均不可确定,从而给使用带来不便,测量精度也受到影响。为了克服测频中高低频段引起测量精度不等,采用等精度的测频原理,双计数器同时计数,保证了整个测试范围内恒定的测试精度。

该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的改变而改变的缺点。等精度的测量方法不但具有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。本设计由于其测量迅速,精确度高,显示直观,因此对它的研究非常有必要,而且相当大的意义,值得深入探讨。2总体方案选择与论证

2.1 总方案比较

方案一:由传统51系列单片机控制,被测信号首先经过放大、整形后再由分频器进行分频,然后送人单片机的T0端口并开始计数,分频器的分频系数由单片机来控制;计数、分频达到规定的精度后结束计数,然后调用显示子程序,显示结果。分频、频率的计算和显示由单片机控制。

图2-1 单片机方案框图

方案二:利用C8051F020单片机进行测频计数,该单片机采用了单周期指令因此测频范围上更宽,因此减少了分频倍频电路。同时该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的改变而改变的缺陷,等精度的测量方法不但具有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。利用单片机完成整个测量电路的测试控制、数据处理和显示输出。整个硬件系统由放大整形电路、单片机、人机交互界面等组成,总体结构框图如图2-2所示。

图2-2系统方案框图

因此,我们选用方案二。

2.2 测频方案比较

(1)测频法

测频法的基本思想是让计数器在闸门信号的控制下计数,计数结果是闸门时间内被测信号的周期数,即被测信号的频率。若被测信号不是矩形脉冲,则应先变换成同频率的矩形脉冲。测频法的原理框图如图2-3所示。

图中闸门信号为高电平时,计数器计数;低电平时,计数器停止计数。显然,在同样的闸门信号作用下,被测信号的频率越高,测量误差越小。当被测频率一定时,闸门信号高电平的时间越长,测量误差越小。但是闸门信号周期越长,测量的响应时间也越长。

图2-3测频法原理框图

例如,闸门信号高电平时间为1秒,被测信号频率的真值为2Hz,如图2-4所示。由图可知,无论被测信号的频率是多少,测量时可能产生的最大绝对误差均为±1Hz,即

Hz f f 112±=? (2-1) 所以,最大相对误差为

1112max /1/)(f f f f ±=?=σ (2-2)

由上式可知,在闸门信号相同时,测频法的相对误差与被测信号的频率成反比。因此测频法适合于测量频率较高的信号。

图2-4测频法的误差 (2)测周法

当被测信号频率较低时,为保证测量精度,常采用测周法。即先测出被测信号的周期,再换算成频率。测周法的实质是把被测信号作为闸门信号,在它的高电平的时间内,用一个标准频率的信号源作为计数器的时钟脉冲。若计数结果为N,标准信号频率为f 1,则被测信号的周期为

N T T ×=1 (2-3) 被测信号的频率为

N F N T F //111=×= (2-4) 利用测周法所产生的最大绝对误差,显然也等于±1个标准信号周期。如果被测信号周期的真值为:

N T T ×=1,则 )1(12±×=N T T (2-5)

)1/(11//)(21112max ±±=?=?=N T T f f f σ (2-6) 由上式可知,对于一定的被测信号,标准信号的频率越高,则N 的值越大,因而相对误差越小。

(3)等精度测量

通常的测频方法是高频段采用直接计数测频,低频段采用间接测周。前者对测高频有较高精度,而后者对测低频有较高精度。往往由于Fx事前不可预测,因此测量精度和测量方法事情均不可确定,从而给使用带来不便,测量精度也受到影响。为了克服测频中高低频段测量精度不等的缺陷,采用双计数器电路,两个计数器相关计数,而且硬件上同步分频实现等精度的频率测量。

通过比较,我们清楚的可以看出方案(3)的优势,因此采用等精度测量方法。

3 系统的硬件设计

3. 1 信号整形电路

用于对待测信号进去放大和整形,以便作为C8051F020的输入信号。通过一级高速运放及经行高速比较器整形,将测试频率电压TCLK控制在3.3V内。

图3-1 整形电路模块

3.2 测频电路

测频电路是测频的核心电路模块,由单片机器件担任。通常的测频方法是高频段采用直接计数测频,低频段采用间接测周。前者对测高频有较高精度,而后者对测低频有较高精度。往往由于Fx事前不可预测,因此测量精度和测量方法事情均不可确定,从而给使用带来不便,测量精度也受到影响。为了克服测频中的+/-1误差引起的高低频段测量精度不等,采用双计数器电路,两个计数器相关计数,而且硬件上同步分频实现等精度的频率测量。

4 系统软件设计

单片机部分主要分为初始化程序、发送频率控制字、读取数据、主程序、显示子程序。每个功能模块对于整体设计都是非常重要的,单片机通过软件编程才能使系统真正的运行起来。其流程图如图4-1所示。

图4-1 单片机软件控制总框图

4.1 640480液晶程序流程图

图4-2 640480液晶流程图

5 软硬件连调与系统测试数据

5.1 子程序调试

1) 编辑子程序段并保存.

2) 编译、修改语法语义等错误、再编译, 直到无错误.并保存.

3) 执行:分别将编写的子程序逐个运行调试,观察其能否实现各自的功能.若不行,检查其逻辑上的错误,可以单步执行或者设置断点再连续执行.

5.2 LCD显示调试

在接上电源后,LCD背光屏会正常发亮显示,运行仿真器后,打开显示驱动程序,全部编译再逐步运行,也可设置好断点,调试显示器能否正常显示字符、延时、清屏、光标闪烁及移位,分别调好后,可以全速运行,直到全部显示正常为止.

5.3 频率计功能测试及总体调试

在进行完各个单元电路的调试,并能正常实现预定功能的情况下,进行总体的测试.步骤如下:

(1)接上5V的电源,插好仿真头并开启仿真器电源. 在WAVE的调试环境中, 进

行仿真器设置;

(2) 打开编辑好的整体程序清单, 全部编译, 系统提示没有编译错误;

(3) 复位,选择连续运行. 观察LCD屏幕上的信息,是否实现应有的显示;

(4) 从波形发生器接入一个任意波形,要求其电压峰峰值在5V内,频率范围在

1Hz~2MHz之间, 观察LCD上的显示值,是否与输入的频率相同;

(5) 同时在测量过程中可以任意改变输入量的频率,观察LCD上数字的变化是

否同步变化,此时最好记录显示的误差值;

(6) 改变输入波形,观察是否能够实现正常显示功能;

(7) 根据记录, 改变闸门时间CL的赋值来减小测量频率的误差。

5.4数据测试

表1-2 试数据报表

输入波形 信号输入频率 显示输出频率 误 差

正弦波 10 Hz 10 Hz 0 Hz

正弦波 500.032 Hz 500.032 KHz 0 Hz

正弦波 2.025 KHz 2.025 KHz 0 Hz

正弦波 500.126 KHz 500.126 KHz 0 Hz

正弦波 2.048 MHZ 2048.001 KHz 1 Hz

矩形波 100 Hz 100 HZ 0 Hz

矩形波 7 KHz 7.000 KHz 0 Hz

矩形波 50.282 KHz 50.282 KHz 0 Hz

矩形波 800.062 KHz 800.061 KHz 1 Hz

矩形波 6.552 MHz 6552.001 KHz 1 Hz

6 技术指标与系统误差分析

6.1 主要技术指标:

该频率计利用单片机来实现频率测量。利用单片机完成整个测量电路的测试控制、数据处理和显示输出。主要计数指标为:

测量频率范围:1Hz--5MHz

输入阻抗:1MΩ//45pf 或50Ω

640480液晶显示输出

6.2 系统误差分析

综合上述,该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的改变而改变的缺点,而且在整个测频区域内保持恒定的测试精,大大提高了测量精度,从数据表中可以看出,精度相当高。

系统采用24M 的标准时钟,在控制同步T 的作用下,计数器A 和计数器B 分别对待测频率信号Fx 和标准信号Fs 同时计数。若两个计数器的计数值分别为M 和N,则待测频率和测频相对误差分别为:

N MF F 0X =

(8-1) 0

0x x e f f N N M M f f Δ+Δ?Δ=Δ= (8-2) 参考文献

[1] 黄继业,EDA 技术实用教程[M].北京:科学出版社,2002: 84~86.

[2] 张永瑞等,电子测量技术基础[M].西安:电子科技大学出版社,2003: 52~54.

[3] 赵雅兴.FPGA 原理、设计与应用[M].天津:天津大学出版社,1999: 10~11.

[4] 全国大学生电子设计竞赛组委会. 《全国大学生电子设计大赛获奖作品精选(2001)》[M].

北京:北京理工大学出版社.2001: 74~77.

[5] 吴金戌编著. 《8051单片机实践与应用》[M]. 清华大学出版社,2000: 55~56.

[6]李景华.可编程逻辑器件与EDA 技术[M].沈阳:东北大学出版社,2002:118~119.

[7] 夏宇闻.复杂数字电路与系统的VERILOGHDL 设计技术[M].北京:北京航空航天大学出

版社,1998: 200~202.

[8].蒋焕文、孙续编著. 《电子测量》[M]. 中国计量出版社(第二版),1998: 63~64.

[9] 全国大学生电子设计竞赛组委会.《全国大学生电子设计竞赛获奖作品选遍(2003)》[M].

北京:北京理工大学出版社, 2003: 78~79.

[10] 万方数据库期刊及超星数字图书馆.

附录1 系统原理图

附录2 程序(参考附件)

2位十进制高精度数字频率计设计

广州大学学生实验报告 实验室:电子信息楼 317EDA 2017 年 10 月 2 日 学院机电学院年级、专 业、班 电信 151 姓名苏伟强学号1507400051 实验课 程名称 可编程逻辑器件及硬件描述语言实验成绩 实验项 目名称 实验4 2位十进制高精度数字频率计设计指导老师 秦剑 一实验目的 1 熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。 2 完成2位十进制频率计的设计,学会利用实验系统上的FPGA/CPLD验证较复杂设计项目的方法。 二实验原理 1 若某一信号在T秒时间里重复变化了N 次,则根据频率的定义可知该信号的频率fs 为:fs=N/T 通常测量时间T取1秒或它的十进制时间。 三实验设备 1 FPGA 实验箱,quarteus软件 四实验内容和结果 1 2位十进制计数器设计 1.1 设计原理图:新建quarteus工程,新建block diagram/schematic File文件,绘制原理图,命名为conter8,如图1,保存,编译,注意:ql[3..0]输出的低4位(十进制的个位), qh[3..0]输出的高4位(十进制的十位) 图片11.2 系统仿真:如图2建立波形图进行波形仿真,如图可以看到完全符合设计要求,当clk输入时钟信号时,clr有清零功能,当enb高电平时允许计数,低电平禁止计数,当低4位计数到9时向高4位进1 图2 1.3 生成元件符号:File->create/updata->create symbol file for current file,保存,命名为conter8,如图3为元件符号(block symbol file 文件): 图3 2 频率计主结构电路设计 2.1 绘制原理图:关闭原理的工程,新建工程,命名为ft_top,新建原理图文件,在project navigator的file 选项卡,右键file->add file to the project->libraries->project library name添加之前conters8工程的目录在该目录下,这样做的目的是因为我们会用到里面的conters8进行原理图绘制,绘制原理图,如图4,为了显示更多的过程信息,我们将74374的输出也作为output,重新绘制了原理图,图5 图4

等精度频率计设计C程序

1 #include 2 #include 3 #include 4 #include 5 #include 6 #define uchar unsigned char 7 #define uint unsigned int 8 #define ulong unsigned long 9 10 code uchar m[]={0xFC,0x60,0xDA,0xF2,0x66,0xB6,0xBE,0xE0,0xFE,0xF6,0x00}; 11 // 0 1 2 3 4 5 6 7 8 9 灭 12 data uchar NS[]={0x0,0x0,0x0,0x0};//标准频率计数 13 data uchar NX[]={0x0,0x0,0x0,0x0};//待测频率计数 14 data ulong NSS,NXX,MM,F; 15 data ulong temp1,temp2; 16 data uchar shuju[]={0,0,0,0,0,0,0,0};//数码管数据 17 sbit CLR = P2^3; 18 sbit SEL2 = P2^2; 19 sbit SEL1 = P2^1; 20 sbit SEL0 = P2^0; 21 sbit CL = P2^4; 22 sbit START = P2^7; 23 //int i,j,k,l; 24 char *pNS; //清零 25 char *pNSS; 26 char *pNX; 27 char *pNXX; 28 29 void delay(uint x); 30 void display(); 31 void operation(); 32 void outdata(); 33 34 long powcyc(long c1,long c2) 35 { 36 long c3=1; 37 uchar ii; 38 for(ii=0;ii

全国大学生电子设计大赛题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品 设计报告部分错误未修正,软 件部分未添加 竞赛选题:数字频率计(F 题)

摘要 本设计选用FPGA 作为数据处理与系统控制的核心,制作了一款超高精度的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法,全部电路使用PCB 制版,进一步减小误差。 AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度,且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率范围广的问题。频率等参数的测量采用闸门时间为1s 的等精度测量法。闸门时间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了系统精度。 经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指标上远超赛题发挥部分要求。 关键词:FPGA 自动增益控制等精度测量法

目录

1. 系统方案 1.1. 方案比较与选择 宽带通道放大器 方案一:OPA690 固定增益直接放大。由于待测信号频率范围广,电压范围大,所以选用宽带运算放大器OPA690,5V 双电源供电,对所有待测信号进行较大倍数的固定增益。对于输入的正弦波信号,经过OPA690 的固定增益,小信号得到放大,大信号削顶失真,所以均可达到后级滞回比较器电路的窗口电压。 方案二:基于VCA810 的自动增益控制(AGC)。AGC 电路实时调整高带宽压控运算放大器VCA810 的增益控制电压,通过负反馈使得放大后的信号幅度基本保持恒定。 尽管方案一中的OPA690 是高速放大器,但是单级增益仅能满足本题基本部分的要求,而在放大高频段的小信号时,增益带宽积的限制使得该方案无法达到发挥部分在频率和幅度上的要求。 方案二中采用VCA810 与OPA690 级联放大,并通过外围负反馈电路实现自动增益控制。该方案不仅能够实现稳定可调的输出电压,而且可以解决高频小信号单级放大时的带宽问题。因此,采用基于VCA810 的自动增益控制方案。 正弦波整形电路 方案一:采用分立器件搭建整形电路。由于分立器件电路存在着结构复杂、设计难度大等诸多缺点,因此不采用该方案。 方案二:采用集成比较器运放。常用的电压比较器运放LM339 的响应时间为1300ns,远远无法达到发挥部分100MHz 的频率要求。因此,采用响应时间为4.5ns 的高速比较器运放TLV3501。 主控电路 方案一:采用诸如MSP430、STM32 等传统单片机作为主控芯片。单片机在现实中与FPGA 连接,建立并口通信,完成命令与数据的传输。 方案二:在FPGA 内部利用逻辑单元搭建片内单片机Avalon,在片内将单片机和测量参数的数字电路系统连接,不连接外部接线。 在硬件电路上,用FPGA 片内单片机,除了输入和输出显示等少数电路外,其它大部分电路都可以集成在一片FPGA 芯片中,大大降低了电路的复杂程度、减小了体积、电路工作也更加可靠和稳定,速度也大为提高。且在数据传输上方便、简单,因此主控电路的选择采用方案二。

高精度单片机频率计的设计

《综合课程设计》 一.数字频率计的设计 姓名:万咬春学号2005142135 一、课程设计的目的 通过本课程设计使学生进一步巩固光纤通信、单片机原理与技术的基本概念、基本理论、分析问题的基本方法;增强学生的软件编程实现能力和解决实际问题的能力,使学生能有效地将理论和实际紧密结合,拓展学生在工程实践方面的专业知识和相关技能。 二、课程设计的内容和要求 1.课程设计内容 (硬件类)频率测量仪的设计 2.课程设计要求 频率测量仪的设计 要求学生能够熟练地用单片机中定时/计数、中断等技术,针对周期性信号的特点,采用不同的算法,编程实现对信号频率的测量,将测量的结果显示在LCD 1602 上,并运用Proteus软件绘制电路原理图,进行仿真验证。 三.实验原理 可用两种方法测待测信号的频率 方法一:(定时1s测信号脉冲次数) 用一个定时计数器做定时中断,定时1s,另一定时计数器仅做计数器使用,初始化完毕后同时开启两个定时计数器,直到产生1s中断,产生1s中断后立即关闭T0和T1(起保护程序和数据的作用)取出计数器寄存器内的值就是1s内待测信号的下跳沿次数即待测信号的频率。用相关函数显示完毕后再开启T0和T1这样即可进入下一轮测量。 原理示意图如下:

实验原理分析: 1.根据该实验原理待测信号的频率不应该大于计数器的最大值65535,也就是说待测信号应小于65535Hz。 2.实验的误差应当是均与的与待测信号的频率无关。 方法二(测信号正半周期) 对于1:1占空比的方波,仅用一个定时计数器做计数器,外部中断引脚作待测信号输入口,置计数器为外部中断引脚控制(外部中断引脚为“1”切TRx=1计数器开始计数)。单片机初始化完毕后程序等待半个正半周期(以便准确打开TRx)打开TRx,这时只要INTx (外部中断引脚)为高电平计数器即不断计数,低电平则不计数,待信号从高电平后计数器终止计数,关闭TRx保护计数器寄存器的值,该值即为待测信号一个正半周期的单片机机器周期数,即可求出待测信号的周期:待测信号周期T=2*cnt/(12/fsoc) cnt为测得待测信号的一个正半周期机器周期数;fsoc为单片机的晶振。所以待测信号的频率f=1/T。 原理示意图如下: 实验原理分析: 1.根据该实验原理该方法只适用于1:1占空比的方波信号,要测非1:1占空比的方波信号 2.由于有执行f=1/(2*cnt/(12/fsoc))的浮点运算,而数据类型转换时未用LCD 浮点显示,故测得的频率将会被取整,如1234.893Hz理论显示为1234Hz,测 得结果会有一定程度的偏小。也就是说测量结果与信号频率的奇偶有一定关 系。 3.由于计数器的寄存器取值在1~65535之间,用该原理时,待测信号的频率小于单片机周期的1/12时,单片机方可较标准的测得待测信号的正半周期。故用 该原理测得信号的最高频率理论应为fsoc/12 如12MHZ的单片机为1MHz。 而最小频率为f=1/(2*65535/(12/fsoc))如12MHZ的单片机为8Hz。 四.实验内容及步骤 1. 仿真模型的构建 数字方波频率计的设计总体可分为两个模块。一是信号频率测量,二是将测得的频率数据显示在1602液晶显示模块上。因此可搭建单片机最小系统构建构建频率计的仿真模型。原理图,仿真模型的总原理图如下:

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

全国大学生电子设计大赛F题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品
设计报告 部分错误未修正,软 件部分未添加
竞赛选题:数字频率计(F 题)
1 / 10

摘要
本设计选用 FPGA 作为数据处理与系统控制的核心,制作了一款超高精度 的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法, 全部电路使用 PCB 制版,进一步减小误差。
AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度, 且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率 范围广的问题。频率等参数的测量采用闸门时间为 1s 的等精度测量法。闸门时 间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了 系统精度。
经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指 标上远超赛题发挥部分要求。
关键词:FPGA 自动增益控制 等精度测量法
1 / 10

目录
摘 要....................................................................................................................1 目录........................................................................................................................ 2 1. 系统方案...................................................................................................3
1.1. 方案比较与选择................................................................................3 1.1.1. 宽带通道放大器.........................................................................3 1.1.2. 正弦波整形电路.........................................................................3 1.1.3. 主控电路.....................................................................................3 1.1.4. 参数测量方案.............................................................................4
1.2. 方案描述............................................................................................4 2. 电路设计...................................................................................................4
2.1. 宽带通道放大器分析........................................................................4 2.2. 正弦波整形电路................................................................................5 3. 软件设计...................................................................................................6 4. 测试方案与测试结果...............................................................................6 4.1. 测试仪器............................................................................................6 4.2. 测试方案及数据................................................................................7
4.2.1. 频率测试.....................................................................................7 4.2.2. 时间间隔测量.............................................................................7 4.2.3. 占空比测量.................................................................................8 4.3. 测试结论............................................................................................9 参考文献................................................................................................................ 9
2 / 10

量程自选的数字频率计

等精度量程自选数字频率计

摘要 51系列单片机是国内目前应用最广泛的一种8位单片机之一,随着嵌入式系统、片上系统等概念的提出和接受及应用,51系列单片机还会在继后很唱一段时间占据嵌入式系统产品的 低端市场,因此,作为新世纪的大学生,在信息产业高速发展的今天,掌握单片机的基本结构、原理和使用时非常重要的。随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。 频率测量是电子学测量中最为基本的测量之一。本次课设使用单片机At89C52为核心,使用等精度测频原理,设计量程自选的数字频率计。采用C语言编写程序,测量范围0.01Hz~400KHz,测量精度能达到0.01。测量结果在1602液晶上显示。 关键字:AT89C52单片机,量程自选数字频率计,等精度测频

Summary 51 series is the currently the most widely used one 8-bit microcontrollers with embedded systems, the concept of on-chip systems, and applications made and whips acceptable, 51 series will be singing in the subsequent period of time is occupied low-end embedded system products market, therefore, as the new century, college students, high-speed development in the information industry today, the master microcontroller's basic structure, principles and use is very important. With the development of electronic technology, the current design of digital systems is moving fast, large capacity, small size, light weight and direction. Frequency measurement is the measurement electronics, one of the most basic measurements. The class is located using the microcontroller At89C52 core, use of precision frequency measurement principle, the design range of optional digital frequency meter. Using C language program, measuring range 0.01Hz ~ 400KHz, measurement accuracy can reach 0.001. Measurements in 1602Displayed on the LCD. Keywords: AT89C52 microcontroller, range-demand digital frequency meter, and otherprecisionfrequencymeasurement

等精度数字频率计的设计

等精度数字频率计的设计 李艳秋 摘要 基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 关键词等精度测量,单片机,频率计,闸门时间,FPGA Ⅱ

ABSTRACT Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array Ⅱ

基于单片机的频率计设计学习资料

基于单片机的频率计 设计

基于单片机的频率计设计 摘要:数字频率计是现代科研生产中不可或缺的测量仪 器,它以十进制数显示被测频率,基本功能是测量正弦信号,方波信号,及其它各种单位时间内变化的物理量。 本系统采用AT89C52单片机智能控制,结合外围电子电 路,设计的频率计性能稳定。在软件设计上采用了单片机 的C语言设计,通过单片机内部定时/计数器同时动作,在 测量频率时将测频率和测周期相结合,提高了频率计的测量 准确性。测量结果在LCD1602上输出显示,结果精 确到整数位。频率计的软件设计,系统软件设计简单明了, 适用于测量频率从1~99999Hz的脉冲信号。 关键词: AT89C52单片机数字频率计 74LS74 NE555 LCD1602 一、引言 1.1 数字频率计的发展和意义 随着电子技术的飞速发展,各类分立电子元件及其所构 成的相关功能单元已逐步被功能更强大、性能更稳定、使 用更方便的集成芯片所取代。由集成芯片和一些外围电路 构成的各种自动控制、自动测量自动显示电路遍及各种电

子产品和设备已广泛应用于各个领域,更新换代速度可谓日新月异。 在电子系统广泛的应用领域中,到处看见处理离散信息的数字电路。供消费用的冰箱、电视、航空通讯系统等设计过程中都用到数字技术。数字频率计是现代通信测量设备系统中不可缺少的测量仪器,不但要求电路产生频率准确的和稳定度高的信号,而且能方便的改变频率。 与传统的测量方式相比,运用了单片机频率计有着体积更小,运算速度更快,测量范围更宽和制作成本更低的优点。由于传统的频率计中有许多功能是依靠硬件来实现的,而采用单片机测频率后,有许多以前需要用硬件才能实现的功能现在仅仅依靠软件编程来实现,而且不同的软件编程代码能够实现不同的功能,从而大大降低了制作成本。 数字频率计主要实现方法有直接式、锁相式、直接数字式和混合式四种。直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只用于地面雷达中。锁相式和直接数字式都同时具有容易实现产品系列化、小型化、模块化和工程化特点,其中,锁相式更是以其容易实现相位同步的自动控制且低功耗的特点成为众多业内人士的首选,应用最为广泛。

频率计测试中的精度计算

频率计测试中的频率计测试中的精度精度精度计算计算 1. 背景 在测试测量中测试精度一直是最为关心的问题。频率计作为高精度的频率和时间测试仪表,测试精度高于普通的频谱仪和示波器,所以测试精度的计算就更加为人关注。影响测试精度,或者说产生误差的因素很多,而其中最主要的因素是仪表内部时基稳定度、分辨率、触发精度及内部噪声等。频率计可以用来测试如频率、周期、相位、脉冲等,而其中频率和周期的测试占有绝大部分比例,本文主要讨论频率和周期的测试精度计算问题。 2. 频率和周期的测试精度 频率和周期互为倒数,所以在频率计的测试中,频率和周期的误差计算方法是一样的。从测试误差的产生来说主要有两类,一类是随机误差,一类是系统误差。随机误差主要由于如噪声或者一些随机因素产生的误差,很难消除。系统误差主要是由于测试方法、仪表设置或者仪表性能引起的误差。不同的设备制造商都有自己的关于误差的计算方法,大同小异,本文论述泰克FCA3000系列频率计测试误差的计算方法。 总误差: (U tot) ( 1 ) rand uncert : 随机误差 syst uncert: 系统误差 在测试频率或者周期时,我们可以通过以下公式计算随机误差和系统误差: 随机误差的计算随机误差的计算:: 当测试时间 Measuring Time < 200ms 时: ( 2 ) 当测试时间 Measuring Time > 200ms 时: ( 3 ) N = 800/Measuring Time (测试时间),同时 6 <= N <= 1000 并且 N < (Freq/2)*Measuring Time - 2 其中: Eq = 100 ps ( RMS) , Ess = Start Trigger Error

等精度数字频率计

江西理工大学应用科学学院 SOPC/EDA综合课程设计报告 完成时间2012年01月03日

目录 第一章设计项目的分析: 1.1 设计原理 1.2 设计要求 1.3 设计思路 第二章项目工作原理及模块工作原理 2.1 项目工作原理 2.2 频率测量模块的工作原理 2.3 周期测量模块的工作原理 2.3.1 直接周期测量法 2.3.2 等精度周期测量法 2.4 脉宽测量模块的工作原理 2.5 占空比测量模块的工作原理 第三章系统设计方案 3.1 等精度数字频率计项目设计方案 3.1.1等精度数字频率计的原理图 3.1.2系统的主要组成部分 3.1.3系统的基本工作方式 3.1.4 CPLD/FPGA测频专用模块的VHDL程序设计 3.2 测频/测周期的实现 3.3 控制部件设计 3.4 计数部件设计 3.5 测量脉冲宽度的工作步骤 第四章主要VHDL源程序 4.1 频率计测试模块 4.2 计数模块 4.3 测频、周期控制模块 4.4 测脉宽、占空比控制模块 4.5 自校/测试频率选择模块 4.6 计数器二频率切换模块 第五章项目硬件测试及仿真结果 5.1 硬件试验情况 5.2 仿真结果 第六章设计总结 附录一参考文献

第一章设计项目的分析 1.1 设计原理 频率计用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1s。闸门时间也可以大于或小于1s。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测得频率值刷新就越快,但测得的频率精度就受影响。 1.2 设计要求 (1) 对于频率测试功能,测频范围为0.1 Hz~70 MHz;对于测频精度,测频全域相对误差恒为百万分之一。 (2) 对于周期测试功能,信号测试范围与精度要求与测频功能相同。 (3) 对于脉宽测试功能,测试范围为0.1 μs~1 s,测试精度为0.01 μs。 (4) 对于占空比测试功能,测试精度为1%~99%。 1.3 设计思路 利用计数器A对时钟脉冲信号进行计数,同时使用另一个计数器B对被测信号计数。当测量时钟脉冲信号的计数器A累积到一定数值时,将计数器B的结果传送到触发器中并通过一个时钟脉冲锁存,并译码送到七段数码管输出。为了使测量误差尽可能小,可以在被测信号的上升沿使计数器A和计数器B同时计数,为此,可添加一个D触发器,以被测信号作为D触发器的时钟信号,高电平为输入端,输出端Q作为两个计数器的计数允许信号。其原理可用图1表示。 基于传统测频原理的频率计的测量精度将随被测信号的频率的下降而降低,在使用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。

单片机的频率计设计(设计)

目录 一、引言0 1.1 数字频率计的发展和意义0 1.2 数字频率国内外的发展形势0 二、系统总体设计2 2.1 系统设计要求2 2.2 测频方法2 2.3 系统设计思路2 2.4 系统设计框图3 三、系统硬件设计3 3.1 单片机模块3 3.1.1 AT89C52介绍 (3) 3.1.2 单片机引脚分配 (4) 3.1.3 复位电路 (4) 3.1.4 定时/计数器 (5) 3.2 电源模块6 3.2.1 电源变压器 (6) 3.2.2 整流电路 (6) 3.2.3 滤波电路 (7) 3.2.4 稳压电路 (7) 3.2.5 电源模块原理图 (8) 3.3 放大整形模块8 3.3.1 与非门74LS00 (8) 3.3.2 放大整形模块原理图 (9) 3.4 分频模块9 3.4.1 分频器74LS161芯片 (9) 3.4.2 多路选择器74LS151芯片 (10) 3.4.3 分频模块原理图 (10) 3.5 显示电路11 3.5.1 频率数值显示电路 (12)

3.5.2 频率数值单位显示电路 (12) 四、系统软件设计12 4.1 开始13 4.2 初始化模块13 4.3 频率测量模块和量程自动切换模块13 4.4 显示模块14 4.5 延时模块15 五、数字频率计仿真15 5.1 电源模块仿真15 5.2 放大整形电路仿真16 5.2.1 仿真软件 MULTISIM 10.0仿真整形电路 (16) 5.2.2 仿真放大整形电路 (17) 5.3 频率计仿真18 5.3.1 用KEIL软件 (18) 5.3.2 使用软件Proteus仿真频率计 (18) 5.3.3 频率计仿真运行调试 (20) 六、结论错误!未定义书签。 参考文献错误!未定义书签。 致谢错误!未定义书签。 附录A错误!未定义书签。 程序源代码错误!未定义书签。 附录B错误!未定义书签。 仿真效果图错误!未定义书签。

基于FPGA的等精度频率计

光电与通信工程学院课程设计报告书 课设名称:等精度频率计 年级专业及班级: 姓名: 学号:

一、课程设计目的 1、进一步熟悉 Quartus Ⅱ的软件使用方法,熟悉 keil 软件使用; 2、熟悉单片机与可编程逻辑器件的开发流程及硬件测试方法; 3、掌握等精度频率计设计的基本原理。 4、掌握独立系统设计及调试方法,提高系统设计能力。 实验设备 EDA最小系统板一块(康芯)、PC机一台、示波器一台、信号发生器一台、万用表一个。 二、设计任务 利用单片机与FPGA设计一款等精度频率计,待测脉冲的检测及计数部分由FPGA实现,FPGA的计数结果送由单片机进行计算,并将最终频率结果显示在数码管上。要求该频率计具有较高的测量精度,且在整个频率区域能保持恒定的测试精度,具体指标如下: a)具有频率测试功能:测频范围 100Hz~5MHz。测频精度:相对误差恒为基准频率的万分之一。 b)具有脉宽测试功能:测试范围 10μs~1s,测试精度:0.1μs。 c)具有占空比测试功能:测试精度1%~99%。 d)具有相位测试功能。 (注:任务a 为基本要求,任务 b、c、d 为提高要求) 三、基本原理 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。 3.1 等精度测频原理 等精度频率计主控结构如图 1 所示

预置门控信号 CL 选择为 0.1~1s 之间(通过测试实验得出结论:CL 在这个 范围内选择时间宽度对测频精度几乎没有影响)。BZH 和 TF 分别是 2 个高速计数器,BZH 对标准频率信号(频率为 Fs)进行计数,设计数结果为 Ns;TF 对被测信号(频率为Fx)进行计数,计数结果为 Nx,则有 MUX64-8 模块并不是必须的,可根据实际设计进行取舍。分析测频计测控时序,着重分析 START的作用,完成等精度频率计设计。 3.2 FPGA 模块 FPGA模块所要完成的功能如图 1 所示,由于单片机的速度慢,不能直接测量高频信号,所以使用高速 FPGA 为测频核心。100MHZ 的标准频率信号由FPGA 内部的 PLL 倍频实现,待测信号 TCLK 为方波,由信号发生器给出待测方波信号(注意:该方波信号带有直流偏置,没有负电压,幅值3.3V)。预制

基于单片机的数字频率计的课程设计 1HZ-10MHZ概要

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:基于单片机数字频率计的设计学生姓名:谢叮咚学号:11417222 系部:物理与电子信息系2011级指导教师:余胜 职称:讲师 湖南人文科技学院物理与电子信息系制

目录 1.引言.................................................... ................ ................ . (1) 1.1 数字频率计的发展与意义................ .............. (1) 1.2 数字频率计的分类........................... ...................... .. (2) 1.3 频率计国内外的发展趋势..................... (2) 2.系统总体设计............................................................ ................ .. (2) 2.1系统设计要求..................................... ................ ................ . (2) 2.2测频方法....................................... ................ ................ . (3) 2.3系统设计思路........................................................ ................... .. (3) 2.4系统设计框图................................................. ......................... (3) 3. 系统设计.................................................... ............. ................ . (4) 3.1单片机模块............................................... ... .. (4) 3.2放大整形模块...................................... . (8) 3.3分频模块....... .... ................................................... . (9) 3.4显示电路.................... ....................... . (10) 4. 系统软件设计............................................... (12) 4.1开始............................................... ................ ...... (12) 4.2初始化模块 (12) 4.3 频率测量模块和量程自动切换模块................. ........... (13) 4.4显示模块............... ........... .......................... ........... .......................... .. (14) 4.5延时模块.......... ........... .......................... ........... .......................................... .14 4.6频率计仿真......... ........... ................................. ........... . (15) 5. 总结与体会............................................... .................................... ........ (19) 6. 参考文献................................................ ............ ....... (20) 7.附录A程序源代码................. ............ ....... . (20) 8.附录B仿真效果图................. ............ ....... . (26) 9.附录C DXP模块原理图与PCB板................................... ............ ....... . (27) 10.附录D 实物调试图............................. ............ ....... .................... (28)

相关主题
文本预览
相关文档 最新文档