当前位置:文档之家› HDL实验报告

HDL实验报告

HDL实验报告
HDL实验报告

HDL实验报告

专业:

学号:

姓名:

指导老师:

目录

实验一:modelsim软件使用 (3)

实验二:简单组合电路设计 (5)

实验三:二进制全加器设计 (7)

实验四:二进制计数器设计 (12)

实验五:基本IO口硬件实现 (16)

实验一Modelsim 仿真软件的使用

一、实验目的

(1)熟悉Modelsim 软件;

(2)掌握Modelsim 软件的编译、仿真方法

(3)熟练运用Modelsim 软件进行HDL 程序设计开发

二、实验步骤

(1)学习,练习使用Modelsim软件

(2)用 Verilog HDL 程序实现一个异或门,Modelsim 仿真,观察效果

三、实验结果

1.程序:

module yihuo(a,b,c);

input a,b;

output c;

assign c=a^b;

endmodule

测试文件:

module t_yihuo;

reg a,b;

wire c;

initial

begin

a=1’b0;b=1’b0;

#20 a=1’b1;b=1’b0;

#20 a=1’b0;b=1’b1;

#20 a=1’b1;b=1’b1;

end

yihuo u1(a,b,c);

endmodule

仿真波形:

四、心得

1、编程时候要注意不能少了句子末尾的分号;

2、initial后面不能有分号;

3、程序第一行后面得加上分号,但是末尾不需要。

实验二简单组合电路设计

一、实验目的

(1)掌握基于Modelsim 的数字电路设计方法;

(2)熟练掌握HDL 程序的不同实现方法

二、实验内容

设计一个三人表决器(高电平表示通过),实验内容如下:

(1)三个人,一个主裁判,两个副裁判;

(2)规则:只要主裁判同意,输出结果为通过;否则,按少数服从多数原则决定

是否通过。

使用 Verilog HDL 程序实现上述实验内容,并使用modelsim 仿真(要求:至少使用两种方法实现上述实验内容和testbench)。

三、实验结果

程序1

module one (ina,inb,inc,outc);

input ina,inb,inc;

output outc;

reg outc;

always @(ina or inb or inc)

outc=ina|inb&inc;

endmodule

程序2

module one (ina,inb,inc,outc);

input ina,inb,inc;

output outc;

asign outc=ina|inb&inc;

endmodule

测试文件:

module t_biaojue;

reg a,b,c;

wire y;

initial

begin

a=1'b0;

forever #10 a=~a;

end

initial

begin

b=1'b0;

forever #20 b=~b;

end

initial

begin

c=1'b0;

forever #40 c=~c;

end

one t(a,b,c,y);

endmodule

仿真波形如下:

实验心得:

第一次独立使用modelsim软件,从一开始的生疏,到做完实验后慢慢地了解如何使用这个软件,并自己做出了基本的仿真,通过仿真验证程序的对与错。并且在老师的讲解下,我懂得了如何找到错误,发现错误,并如何改正错误。

实验三二进制全加器设计

一、实验目的

(1)熟悉Verilog HDL 元件例化语句的作用

(2)熟悉全加器的工作原理

(3)用Verilog HDL 语言设计四位二进制全加器,并仿真,下载验证其功能

二、实验原理

(1)一位全加器原理:

Ai,Bi 分别是两个二进制位,Ci-1 是前级进位,Ci 是进位,Si 是加法结果。

表达式:

Si=A i^Bi^Ci-1 ;

Ci-1=Ai&Bi|Ai&Ci-1|Bi&Ci-1 ;

⑵四位全加器:

四个一位全加器串联;

三、实验内容

(1)用Verilog HDL 语言描述一位全加器,并使用modelsim 仿真验证结果。

(2)用Verilog HDL 元件例化语句实现四位全加器,modelsim 仿真并下载到实验箱,用按键模拟输入,led 灯模拟输出,验证结果。

(3)设计程序将加法结果分开输出,即十位数和个位数分别用四位数组表示。

四、实验结果

一位全加器程序:

module add_1(a,b,ci,cout,co);

input a, b, ci;

output cout, co;

reg cout;

reg co;

always @ ( a or b or ci )

begin

cout = a ^ b ^ ci;

co = (a & b) | (a & ci) | (b & ci);

end

endmodule

四位全加器程序:

module add_4(a,b,ci,count,co);

input [3:0] a;

input [3:0] b;

input ci;

output [3:0] count;

output co;

wire c1,c2,c3;

begin

add_1 add1(a[0],b[0],ci,count[0],c1); add_1 add2(a[1],b[1],c1,count[1],c2); add_1 add3(a[2],b[2],c2,count[2],c3); add_1 add4(a[3],b[3],c3,count[3],co); end

endmodule

四位全加器测试程序;

module t_add_4;

reg [3:0] ad1,ad2;

reg c;

wire sc;

wire [3:0]ss;

initial

begin

ad1=4'b0;

forever

#1 ad1=ad1+4'b1;

end

initial

begin

ad2=4'b0;

forever

#16 ad2=ad2+4'b1;

end

initial

begin

c=0;

forever

#256 c=~c;

end

add_4 u3(ad1,ad2,c,ss,sc);

endmodule

仿真波形:

思考题:二进制加法的十进制个十分离:module B_TO_D(clk,a,b,c0,s0,s1,t1,t0); input[3:0]a,b;

input c0,clk;

output [3:0]s0,s1,t1,t0;

wire [3:0]s;

reg [3:0]t0,t1;

wire [4:0]S;

wire c1,c2,c3,c4;

add_1 u1(a[0],b[0],c0,s[0],c1);

add_1 u2(a[1],b[1],c1,s[1],c2);

add_1 u3(a[2],b[2],c2,s[2],c3);

add_1 u4(a[3],b[3],c3,s[3],c4);

assign S={c4,s};

always@(posedge clk or posedge S)

if(S<5'b1010)

begin

t1<=4'b0;

t0<=s;

end

else if(5'b1010<=S<5'b10100) begin

t1<=4'b1;

t0<=S-5'b1010;

end

else if(5'b10100<=S<5'b11110) begin

t1<=4'b10;

t0<=S-5'b10100;

end

begin

t1<=4'b11;

t0<=S-5'b11110;

end

D D1(s1,clk,t1);

D D0(s0,clk,t0); endmodule

module D(q,clk,d);

input clk;

input [3:0]d;

output [3:0]q;

reg [3:0]q;

always@(posedge clk)

q<=d;

endmodule

仿真程序:

module T_B_TO_D;

reg [3:0]a,b;

reg c0,clk;

wire [3:0]s0,s1,t1,t0;

initial

begin

clk=1'b0;

forever #10 clk=~clk;

end

initial

begin

a=4'b0;

forever #10 a=a+4'b1;

end

initial

begin

b=4'b0;

forever #160 b=b+4'b1;

end

initial

c0=1'b0;

forever #2560 c0=~c0;

end

B_TO_D u1(clk,a,b,c0,s0,s1,t1,t0);

endmodule

五、心得体会

1、可以把复杂的问题分解为数个小问题,逐个解决,最终达到目的,就像数全加器,整体复杂,其实单个全加器就简单得多。

2、要熟悉掌握数电知识并且灵活运用。

六、思考题

在仿真时,输出结果会有毛刺,采用什么方法可以消除毛刺?

答:利用D触发器可以消除毛刺

实验四二进制计数器设计

一、实验目的

(1)熟悉Verilog HDL 时序电路的设计方法;

(2)了解清零和使能的概念,以及同步清零和异步清零的区别

(3)用Verilog HDL 语言设计二进制计数器,并仿真;

二、实验原理

一般计数器可以分为两类:加法计数器和减法计数器。加法计数器每来一个脉冲计数值加1;减法计数器每来一个脉冲计数器值减1;有时将两者做在一起称可逆计数器。

三、实验内容及步骤

(1)编程实现二进制计数器并仿真。

按实验原理要求编写一个二进制计数器,并仿真。

实验步骤:

(1)编程实现十进制计数器,含同异步清零端和进位输出端,编程实现,并modelsim 仿真。

(2)增加预置功能(增加五位输入引脚),实现任意的五位二进制数的计数器。四、实验结果

计数器程序:

module counter (count,cout,clk,rst);

input clk,rst;

output [3:0] count;

output cout;

reg [3:0] count;

reg cout;

always@(posedge clk or posedge reset)

begin

if(!reset)

begin

count <= 4'b0000;

cout <= 1'b0;

end

else if(count == 4'b1111)

begin

count <= 4'b0000;

cout <= 1'b1;

end

else

begin

count <= count +4'b0001; cout <= 1'b0;

end

end

endmodule

测试程序

module t_count;

reg clk,rst;

wire [3:0]count;

wire state;

parameter time_=320;

initial

begin

clk=0;

forever #(time_/32)clk=~clk; end

initial

begin

rst=0;

forever #(time_)rst=~rst;

end

counter u1(count,state,clk,rst); endmodule

四、仿真效果图

带有预置功能的任意五位二进制计数器程序:module yuzhi_jishu(clk,rst,ina,count,co);

input clk,rst;

input [4:0] ina;

output [4:0] count;

output co;

reg [4:0] count;

reg co;

always @(posedge clk or negedge rst)

if (!rst)

begin

count <= ina;

co <= 1'b0;

end

else

if(count == 5'b11111)

begin

count <= ina;

co <= 1'b1;

end

else

begin

count <= count+1'b1;

co <= 1'b0;

end

endmodule

测试程序:

module test_yuzhijishu;

reg [4:0] ina;

reg clk;

reg rst;

wire [4:0] count;

wire co;

initial

begin

clk = 1'b0;

forever #1 clk = ~clk;

end

initial

begin

rst = 1'b1;

#9 rst = 1'b0;

#11 rst = 1'b1;

end

initial

begin

ina = 5'b0;

#10 ina = 5'b1;

#40 ina = 5'b10;

end

yuzhi_jishu u1(clk,rst,ina,count,co);

endmodule

仿真波形:

五、心得体会

(1)、本次试验,我了解了用HDL描述时钟电路的一般思想,学会了基本时钟的设计及初始化;

(2)、计数器的预置功能,归结于计数器复位以及计数器满时,计数起点应该是预置数,而不总是零。

实验五、基本 IO 实验

一、实验目的

(1)学习QuartusⅡ 5.0 的基本操作。

(2)熟悉教学实验板的使用。

(3)初步掌握Verilog语言的设计输入,编译,仿真和调试过程。

二、实验原理

利用一个常开按钮(实验板上的KEY1)作为输入(常开时输入1,闭合时输入0),经过一个反相器后输出到核心板的一个LED。KEY1 常开时,LED灭,按下(闭合)实验板上的KEY1,该LED点亮。

三、实验步骤

1、建立工程

运行QuatrusII 软件,建立工程,File→New Project Wizad

点击New Project Wizard 后弹出指定工程名的对话框,在Diectory,

Name,Top-Level Entity

2、建立文本文件

输入下述程序:

module testio(key1,led1);

input key1;

output led1;

assign led1 = ~ key1;

endmodule

将程序保存为testio.v。

建立文本文件。File→New,选择Verilog HDL File

3、分配管脚

为芯片分配管脚可以用QuartusII 软件里的“Assignments→Pins”菜单,也可以用tcl脚本文件

对应于EP1C6 核心板:

set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"

set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF

set_location_assignment PIN_181 -to led1

set_location_assignment PIN_64 -to key1

保存到工程目录下,并注意在保存对话框选上“Add file to current project”

选项。然后打开Tools -> Tcl Scripts,选中刚才编辑的Script 文件:Setup,并点击Run

4、编译

按主工具栏上的编译按钮即开始编译

5、下载

(1)下载设置:如果第一次使用下载线下载配置文件到FPGA,则需要在Q2 软件设置下载线的型号等信息。先将ByteBlasterII 下载线的一头接到PC的并口,执行菜单Tools→Programmer

在Hardware type 下拉列表选择“ByteBlasterMV or ByteBlasterII”,点OK 返回“HardwareSetup”对话框,从“Currently selected hardware:”下拉列

表选择ByteBlasterII[LPT1],点击“Close”返回下载界面,可看到下载线已

经被添加

(2)将ByteBlasterII下载线一头与PC连接,另一头插入到JTAG口或EPCS4的下载口。(3) FPGA 核心板接上5V 电源。

(4)如果只是调试一下设计是否成功,可通过JTAG 口把芯片的配置信息下载到FPGA 芯片内,掉电后配置信息丢失。此时,下载界面的“Mode:”下拉列表应选择“JTAG”,并选择工程中.sof 后缀的文件进行下载

四、实验结果

在本例的设计中,我们利用一个常开按钮(实验板上的KEY1)作为输入(常开时输入1,闭合时输入0),经过一个反向器后输出到核心板的第一个LED;因此按下(闭合)实验板上的KEY1,该LED 灭。

五、实验心得

通过本次实验,加深了对FPGA设计流程的理解及QuartusII 软件的基本用法,对FPGA实验开发板有了一定的认识,为以后的课程设计打下了一定的基础。

数据库实验2实验报告 2

数据库第二次试验报告 PB10011020 刘思轶实验内容 本实验有两个可选题目,旅游出行和药品免疫库。本程序即为旅游出行的一个实现。 实验原题摘要如下 数据关系模式: 航班FLIGHTS( String flightNum, int price, int numSeats, int numAvail, String FromCity, StringArivCity); 宾馆房间HOTELS( String location, int price, int numRooms, int numAvail);出租车CARS( String location, int price, int numCars, int numAvail);客户CUSTOMERS( String custName); 预订情况RESERVATIONS( String resvKey, String custName, int resvType) 系统基本功能: 1.航班,出租车,宾馆房间和客户基础数据的入库,更新(表中的属性也可以根据你的需要添加)。 2.预定航班,出租车,宾馆房间。 3.查询航班,出租车,宾馆房间,客户和预订信息。 4.查询某个客户的旅行线路。 5.检查预定线路的完整性。 6.其他任意你愿意加上的功能。 程序功能 本系统由旅行服务提供商运营,发布在支持PHP + MySQL 的服务器上。 系统默认有三个角色,游客、用户和管理员。它们的权限如下 1、游客 查询当前航班、旅馆和出租车的预订情况; 2、注册用户 游客的所用权限; 查询当前预订; 预订航班、旅馆和出租车; 退订航班、旅馆和出租车。 打印旅行路线 检查预定路线的完整性。 检查航班的完备性 3、管理员 注册用户的所用权限

小学自然实验报告样板.doc

小学自然实验报告模板 教学模式是在一定的教学思想或教学理论的指导下建立起来的,较为稳定的教学活动结构框架和活动程序。“结构框架”意在从宏观把握教学活动整体各要素之间的内部关系;“活动程序”意在突出教学模式的有序性和可行性。 自然学科是人类在认识自然的过程中所积累的知识。它与人的认识过程有较高的一致性,最适用于发现式的学习方法。实验是传授自然科学知识和培养与发展学生各种能力的重要手段。我校的教研组推出的四环节实验课教学模式,以其较完美的操作性、开放性、优效性和灵活性形成了自然实验课的基本框架,较好地揭示课堂教学的一般程序、课堂教学诸因素的内在联系和课堂教学的普遍规律。现就模式谈一下我在教学中的实践与几点体会。 一、教学模式的四个环节在实践中的具体运用 (一)提出问题阶段 提出问题阶段是当研究一个问题时,为了激发学生的求知欲望,引导学生探索并调动他们积极性的阶段。教师可结合要研究的问题,用生动形象的语言恰如其分地提问,让学生在观察和思维中发现问题。 例如,《物体的热胀冷缩》一课,先进行演示实验,在铁架台上放一平底烧瓶,瓶中装满水,用酒精灯加热,水还没烧开,瓶中的水就往外溢。教师接着问大家,你们看了这个现象有什么想法?学生一下子提出许多问题:“为什么水加热后往上溢呢?”

“水难道会变多吗?” 教学时,为了激发学生探求知识的欲望,应千方百计创造性地运用各种方法,如:做游戏、讲故事、变魔术、猜谜语、出示挂图、运用幻灯等。引起学生要研究问题的兴趣,提出自己的想法。 (二)作出假设阶段 学生提出了问题,但在还没有学习有关的知识时,教师引导学生对自己的问题作出假设的回答。教师再从学生假设中引导学生逐渐进入要研究的问题中去。 例如,《水蒸气的凝结》,教师将还在冒白气的温水杯加盖,过一会儿再揭开盖,请同学们看盖上的水珠,水蒸气碰到什么样的物体在上面结成水珠呢?引导学生作出假设,发表不同意见。有的同学说:“水蒸气遇到热的物体结成水珠。”有的说:“水蒸气遇到冷的物体结成水珠。”教师接着说:“那么我们就一起研究一下,水蒸气在什么条件下能变成水呢?”这样就逐渐地把学生引入要研究的课题。 在这个阶段中,学生根据已有知识的经验,通过演绎、归纳、推理而提出的假设,不少带有猜测的性质。此时教师要引导学生积极作出假设,不应压抑学生的思维,不管是对是错,都不要忙于作出评价。 (三)设计实验阶段

医疗报告生物医学仪器实验报告

数据采集综合性训练 目录 一、科研训练目的以及内容3 二、科研训练设备3 三、采集系统构成3 四、驱动程序说明5 五、程序框架6 六、代码关键算法说明7 七、实验总结及讨论8 八、专题资料总结11 参考文献17 指导教师:邹远文 材料科学与工程学院 生物医学工程专业 0843015044 王夕雨 一、科研训练目的及内容

1、科研训练目的 数据采集是仪器设计中的关键环节,涉及计算机语言、微机原理、操作系统、数字电路、嵌入式系统、数字信号处理等多门课程的内容;同时要求具备计算机编程、设备器件组装等实际操作能力。通过此项训练,能将多门课程的内容融合,加深学生对课程内容的理解,提升学生实际运用课程知识的能力; 同时为学生进入科研训练和毕业论文阶段,打下工程运用的基础。 2、科研训练内容 1、USB和BIOPAC的AD/DA、数字IO的编程(VC++)和使用 2、练习采集数据存储与管理 3、练习示波器和信号发生器 二、科研训练设备 1、计算机 2、USB数据采集卡和BIOPAC数据采集器 3、示波器和信号发生器 三、采集系统的构成 本次数据采集系统主要是USB数据采集与电脑和示波器数据采集,信号发生器用FG-275/FG-273A。 图1数据采集卡 图2信号发生器 图3示波器 USB数据采集系统的构成如下图1所示。[1]

图4、USB数据采集系统 示波器数据采集系统的构成如图5。泰克TDS3000C系列示波器拥有高达500MHz的带宽,在紧凑的电池供电的设计中提供了经济的性能,这一流行的产品系列现在配有USB主机端口和PC连接软件,同时提供了熟悉的操作和简单的导航功能,您可以用更少的时间学习和重新学习怎样使用示波器,用更多的时间完成手头的任务。[2] 图5、示波器数据采集系统 FG-275/FG-273A函数信号发生器。[3] FG-273A/-275函数信号发生器规格 方波特性: 对称性:±3%或更小(100Hz时) 上升及下降时间:最大100ns(最大输出) 正弦波特性: 失真:1%或更小(100KHz时) 三角波特性: 线性:1%或更小(100KHz时) 电源要求:100/120/220/240VAC,50/60Hz,约20VA 尺寸:240(宽)×64(高)×190(长)mm 重量:1.8kg 特点: ·扫描/函数/脉冲信号产生

WORD实验报告

word基本操作实验报告 一、实验目的与要求 1.掌握word的基本操作; 2.掌握字符格式、段落格式和页面格式等排版技术; 3.掌握图文混排、表格处理和邮件合并技术; 4.熟悉个人名片或毕业论文的设计与制作; 5.学会自己提出问题,并得出解决问题的方法。 二、实验内容与方法 1.word的基本操作,通过上机摸索,并查阅书籍网络了解。 2.word的字符格式,段落格式和页面格式等排版技术,通过上机摸索,并查阅书籍网络了解。 3.word的图文混排、表格处理和邮件合并技术,通过上机摸索,并查阅书籍网络了解。 4. 通过word进行个人名片或毕业论文的设计与制作,通过上机摸索,并查阅书籍网络了解。 三、实验步骤与过程 1.word的基本操作:①启动word软件 (1) 启动“开始”菜单中的microsoft word程序 (2) 双击资源管理器或“我的电脑”中的c:\program files\microsoft office\office11\winword.exe程序 (3) 双击word 文档文件(*.doc) (4) 双击桌面上的word图标 (5)开始-运行-输入“winword”②认识word2003窗口(1)标题栏位于屏幕最顶端的是标题栏,由控制菜单图标、文件名、最小化按钮、最大化(还原)按钮、关闭按钮组成。(2)菜单栏 菜单栏位于标题栏下面。使用菜单栏可以执行word的许多命令。菜单栏共有九个菜单:文件、编辑、视图、插入、格式、工具、表格、窗口、帮助。当鼠标指针移到菜单标题上时,菜单标题就会凸起,单击后弹出下拉菜单。在下拉菜单中移动鼠标指针时,被选中的菜单项就会高亮显示,再单击,就会执行该菜单所代表的命令。如“文件”—“打开”,就会弹出“打开”文件对话框。(3)工具栏 标题栏下面的是工具栏,使用它们可以很方便地进行工作。通常情况下,word会显示【常用】和【格式】两个工具栏。 “常用”工具栏:新建、打开、复制、粘贴、打印、撤消、恢复等“格式”工具栏:字体、字号、下划线、边框、对齐方式等 如果想了解工具栏上按钮的简单功能,只需将鼠标指针移到该按钮上,过一会儿旁边会出现一个小框,显示出按钮的名称或功能。 word窗口中可以有许多工具栏,可以根据需要在“视图”—“工具栏”中增加或减少工具栏。每一个工 具栏都可以用鼠标拖动到屏幕的任意位置,所以又称为浮动工具栏。工具栏内图标按钮体现了“菜单栏”中的一些主要功能。我们可以利用这些按钮进行相应操作。如我要打开一个文件,除了可以使用菜单栏外,还可以使用工具栏上的按钮。 (4)编辑窗口 再往下的空白区域就是word的编辑窗口,输入的文字就显示在这里。文档中闪烁的竖线称为光标,代表文字的当前输入位置。(5)标尺 在编辑窗口的上面和左面有一个标尺,分别为水平标尺和垂直标尺,用来查看正文的高度和宽度,以及图片、文本框、表格的宽度,还可以用来排版正文。( 6)滚动条在编辑窗口的右面和下面有滚动条,分别为垂直滚动条和水平滚动条,用来滚动文档,显示在屏幕中看不到的内容。可以单击滚动条中的按钮或者拖动滚动框来浏览文档。(7)显示方式按钮

医疗仪器设计实验报告

电子科技大学生命科学与技术学院标准实验报告 (实验)课程名称医学仪器设计 2014-2015-第1学期 电子科技大学教务处制表

电子科技大学 实验报告 学生姓名:周志洋、易杰瑞、常明、张明 学号:2011092010017、201109101019、2011091010011、2011091030016 指导教师:廖小丽 实验地点:沙河校区 实验时间:2014年12月30日 一、实验室名称:主楼西420 二、实验名称:液晶显示实验 三、实验学时:2学时 1.1 液晶显示器概述 1. 概述 日常生活中,人们对液晶显示器并不陌生。液晶显示模块已作为很多电子产品的通用器件,如计算器、万用表、电子表及很多家用电子产品中都可以看到,显示的主要是数字、专用符号和图形。 2.主要优势 1、显示质量高。 由于液晶显示器每一个点在收到信号后就一直保持那种色彩和亮度,恒定发光,而不像阴极射线管显示器(CRT),那样需要不断刷新亮点。 2、数字式接口。 3、体质小、重量轻。 4、功耗低。 5、辐射小。(可通过屏蔽电路解决) 1.2 LCD液晶显示器原理 1、液晶显示原理 液晶显示的原理是利用液晶的物理特性,通过电压对其显示区域进行控制,有电就有显示,控制特定的显示区域就可以得到特定的图形。 2、液晶显示器的分类 按颜色区分:黑白显示、彩色显示。

按显示方式区分:段式、字符式、点阵式等。 按驱动方式区分:静态(Static)驱动、单纯矩阵(simple Matrix)驱动、主动矩阵(Active Matrix)驱动。 3、液晶显示器各种图形的显示原理 1)线段显示 点阵图形式液晶由M*N个显示单元组成,假设LCD显示 屏有64行,每行有128列,每8列对应1Byte(字节)的8位, 即每行有16Byte,共16*8=128个点组成,屏上64*16个显示单 元与显示RAM区1024Byte相对应,每一字节的内容与显示 屏上的亮暗相对应。 2)字符显示 每个字符都是由6*8或8*8点阵组成,既要找到和显示屏 相对应的显示RAM区的8Byte,还要使每字节的不同位置为 1,其他的为0,为1点亮,为0不亮。由此组成某个字符。 内部带字符发生器的控制器的液晶显示器,让控制器工作在文本方式,找出显示RAM对应的地址,设立光标,送上某字符对应的代码即可。 3)汉字的显示 一般采用图形的方式,首先提取汉字的点阵码,每个汉字占32Byte,找出显示RAM对应的地址,设立光标,送上要显示的汉字的第一个字节,光标位置加1,送第二个字节······直到32Byte显示完,就可以在LCD上得到一个完整的汉字。 1.3 点阵式液晶显示器 点阵式液晶显示器简介 相对字符型液晶显示器,功能更多,步进可以显示字符数字还可以显示各种图形、曲线及汉字,并且可以实现屏幕上下左右滚动、动画、反转、闪烁等功能,用途十分广泛。 按显示点阵数分类:128W*64H、132W*32H、192W*64H、320W*240H等 12864LCD 128×64点阵液晶显示屏有三种控制器,分别是KS0107(KS0108)、T6963C和ST7920,三种控制器主要区别是:KS0107(KS0108)不带任何字库、T6963C带ASCII码,ST7920带国标二级字库(8千多个汉字)。 模块主要硬件构成

实验二实验报告

PAM和PCM编译码器系统 一、实验目的 1.观察了解PAM信号形成的过程;验证抽样定理;了解混叠效应形成的原因; 2.验证PCM编译码原理;熟悉PCM抽样时钟、编码数据和输入/输出时钟之间的关系;了 解PCM专用大规模集成电路的工作原理和应用。 二、实验内容和步骤 1.PAM编译码器系统 1.1自然抽样脉冲序列测量 (1)准备工作; (2)PAM脉冲抽样序列观察; (3)PAM脉冲抽样序列重建信号观测。 1.2平顶抽样脉冲序列测量 (1)准备工作; (2)PAM平顶抽样序列观察; (3)平顶抽样重建信号观测。 1.3信号混叠观测 (1)准备工作 (2)用示波器观测重建信号输出的波形。 2.PCM编译码器系统 2.1PCM串行接口时序观察 (1)输出时钟和帧同步时隙信号的观察; (2)抽样时钟信号与PCM编码数据测量; 2.2用示波器同时观察抽样时钟信号和编码输出数据信号端口(TP502),观测时以TP504 同步,分析掌握PCM编码输数据和抽样时钟信号(同步沿、脉冲宽度)及输出时钟的对应关系; 2.3PCM译码器输出模拟信号观测,定性观测解码信号与输入信号的关系:质量,电平, 延时。 2.4PCM频率响应测量:调整测试信号频率,定性观察解码恢复出的模拟信号电平,观测 输出信号电平相对变化随输入信号频率变化的相对关系; 2.5PCM动态范围测量:将测试信号频率固定在1000Hz,改变测试信号电平,定性观测解 码恢复出的模拟信号的质量。 三、实验数据处理与分析 1.PAM编译码器系统 (1)观察得到的抽样脉冲序列和正弦波输入信号如下所示:

上图中上方波形为输入的正弦波信号,下方为得到的抽样脉冲序列,可见抽样序列和正弦波信号基本同步。 (2)观测得到的重建信号和正弦波输入信号如下所示: 如上图所示,得到的重建信号也为正弦波,波形并没有失真。 (3)平顶抽样的脉冲序列如下所示: 上图中上方的波形为输入的正弦波信号,下方为PAM平顶抽样序列。 (4)平顶抽样的重建信号波形: 可见正弦波经过平顶抽样,最终重建的信号仍为正弦波。 (5)观察产生混叠时的重建信号的输出波形 在实验时将输入的正弦波频率调至7.5KHz,通过示波器观察得到的输入正弦波波形和输出的重建信号如下所示: 由于实验时采用的抽样频率为8KHz,所以当输入的信号频率为7.5KHz时已经不满足抽样定理的要求了,所以会产生混叠误差,导致了输出的重建波形如上图所示,不再是正弦波了。 从测量结果可以得出如下规律:随着输入正弦波信号的频率逐渐升高,输出重建波形的幅值逐渐降低。这是由于在实验电路中加入了抗混滤波器,该滤波器随着频率的升高会使处理的信号的衰减逐渐变大,所以如试验结果所示,随着输入信号频率的升高,输出信号的幅值在逐渐变小。 (7) 在不采用抗混滤波器时输入与输出波形之间的关系,得到的结果如下表所示:

科技实验报告.doc

科技实验报告 一、定义与作用 实验报告,就是在某项科研活动或专业学习中,实验者把实验的目的、方法。步骤、结果等,用简洁的语言写成书面报告。 实验报告必须在科学实验的基础上进行。成功的或失败的实验结果的记载,有利于不断积累研究资料,总结研究成果,提高实验者的观察能力。分析问题和解决问题的能力,培养理论联系实际的学风和实事求是的科学态度。 二、写作要求 实验报告的种类繁多,其格式大同小异,比较固定。实验报告,一般根据实验的先后顺序来写,主要内容有: 1.实验名称名称,要用最简练的语言反映实验的内容。如验证某定律,可写成“验证×××”;如测量的实验报告,可写成 “×××的测定。” 2.实验目的实验目的要明确,要抓住重点,可以从理论和实践两个方面考虑。在理论上,验证定理定律,并使实验者获得深刻和系统的理解,在实践上,掌握使用仪器或器材的技能技巧。 3.实验用的仪器和材料如玻璃器皿。金属用具、溶液、颜料、粉剂、燃料等。 4.实验的步骤和方法这是实验报告极其重要的内容。这部分要写明依据何种原理。定律或操作方法进行实验,要写明经过哪儿个

步骤。还应该画出实验装置的结构示意图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要。清楚明白。 5.数据记录和计算指从实验中测到的数据以及计算结果。 6.结果即根据实验过程中所见到的现象和测得的数据,作出结论。 7.备注或说明可写上实验成功或失败的原因,实验后的心得体会、建议等。 有的实验报告采用事先设计好的表格,使用时只要逐项填写即可。 三、撰写时应注意事项 写实验报告是一件非常严肃。认真的工作,要讲究科学性、准确性。求实性。在撰写过程中,常见错误有以下几种情况:1.观察不细致,没有及时、准确、如实记录。 在实验时,由于观察不细致,不认真,没有及时记录,结果不能准确地写出所发生的各种现象,不能恰如其分。实事求是地分析各种现象发生的原因。故在记录中,一定要看到什么,就记录什么,不能弄虚作假。为了印证一些实验现象而修改数据,假造实验现象等做法,都是不允许的。 2.说明不准确,或层次不清晰。 比如,在化学实验中,出现了沉淀物,但没有准确他说明是“晶体沉淀”,还是“无定形沉淀”。说明步骤,有的说明没有按照操作顺序分条列出,结果出现层次不清晰。凌乱等问题。

无源医疗器械检测实验报告1-9

日期指导教师胡秀枋成绩 同组同学姓名 《无源医疗器械检测》实验报告一 物理部分 班级 姓名 学号 实验一、一次性注射针刚度检测 实验设备名称与型号 一、实验目的: 二、实验材料方法 供试品名称型号: 操作步骤:

测试人员: 记录人员: 四、操作问答题: 1.一次性使用输液、输血、注射器具的检测标准是什么? 2.说出三个注射针针管测试产品中产品标记的意义。 3.本次注射针针管的刚度检测仪器名称和测试方法。 4.说出注射针针管的刚度检测各项实验数据意义和检测结果判定方法。 实验二、一次性注射器滑动性能检测 实验设备名称与型号 一、实验目的: 二、实验材料方法 供试品名称型号: 操作步骤:

测试人员: 记录人员: 四、操作问答题: 1.本次一次性注射针滑动性能检测仪器名称和测试方法。 2.说出一次性注射针滑动性能检测各项实验数据意义和检测结果判定和计 算方法。 3.如何进行一次性注射针滑动性能检测中行程的设定? 实验三、一次性注射器器身密合性(正压)检测 实验设备名称与型号 一、实验目的: 二、实验材料方法 供试品名称型号: 操作步骤:

测试人员: 记录人员: 四、操作问答题: 1.本次一次性注射器器身密合性(正压)检测仪器名称和测试方法。 2.说出一次性注射器器身密合性(正压)检测各项实验数据意义和检测结果 判定方法。 实验四、基于电阻法原理微粒检测 实验设备名称与型号 一、实验目的: 二、实验材料方法 供试品名称型号:

操作步骤: 三、实验数据记录:输液器型号 测试人员: 记录人员: 四、操作问答题: 1.本次基于电阻法原理微粒检测仪器名称和测试方法。 2.说出基于电阻法原理微粒检测各项实验数据意义和检测结果判定方法。

西安交大数字图像处理第二次实验报告

数字图像处理第二次作业

摘要 本次报告主要记录第二次作业中的各项任务完成情况。本次作业以Matlab 2013为平台,结合matlab函数编程实现对lena.bmp,elain1.bmp图像文件的相关处理:1.分别得到了lena.bmp 512*512图像灰度级逐级递减8-1显示,2.计算得到lena.bmp图像的均值和方差,3.通过近邻、双线性和双三次插值法将lena.bmp zoom到2048*2048,4. 把lena和elain 图像分别进行水平shear(参数可设置为1.5,或者自行选择)和旋转30度,并采用用近邻、双线性和双三次插值法zoom到2048*2048。以上任务完成后均得到了预期的结果。 1.把lena 512*512图像灰度级逐级递减8-1显示 (1)实验原理: 给定的lena.bmp是一幅8位灰阶的图像,即有256个灰度色。则K位灰阶图像中某像素的灰度值k(x,y)(以阶色为基准)与原图同像素的灰度值v(x,y)(以256阶色为基准)的对应关系为: 式中floor函数为向下取整操作。取一确定k值,对原图进行上式运算即得降阶后的k位灰阶图像矩阵。 (2)实验方法 首先通过imread()函数读入lena.bmp得到图像的灰度矩阵I,上式对I矩阵进行灰度降阶运算,最后利用imshow()函数输出显示图像。对应源程序为img1.m。 (3)处理结果 8灰度级

7灰度级 6灰度级 5灰度级

4灰度级 3灰度级 2灰度级

1灰度级 (4)结果讨论: 由上图可以看出,在灰度级下降到5之前,肉眼几乎感觉不出降阶后图像发生的变化。但从灰度级4开始,肉眼明显能感觉到图像有稍许的不连续,在灰度缓变区常会出现一些几乎看不出来的非常细的山脊状结构。随着灰度阶数的继续下降,图像开始出现大片的伪轮廓,灰度级数越低,越不能将图像的细节刻画出来,最终的极端情况是退化为只有黑白两色的二值化图像。由此可以得出,图像采样的灰度阶数越高,灰度围越大,细节越丰富,肉眼看去更接近实际情况。 2.计算lena图像的均值方差 (1)实验原理 对分辨率为M*N的灰度图像,其均值和方差分别为: (2)实验方法 首先通过imread()函数读入图像文件到灰度矩阵I中,然后利用 mean2函数和std2函数计算灰度矩阵(即图像)的均值和标准差,再由标准差平方得到方差。对应源程序:img1.m (3)处理结果 均值me =99.0512,标准差st =52.8776,方差sf =2.7960e+03。 (4)结果分析 图像的均值可反应图像整体的明暗程度,而方差可以反应图像整体的对比度情况,方差越大,图像的对比度越大,可以显示的细节就越多。 3.把lena图像用近邻、双线性和双三次插值法zoom到2048*2048; (1)实验原理 图像插值就是利用已知邻近像素点的灰度值来产生未知像素点的灰度值,以便由原始图

药物分析实验报告

实验四苯甲酸钠的含量测定 一、目的 掌握双相滴定法测定苯甲酸钠含量的原理和操作 二、操作 取本品1.5g,精密称定,置分液漏斗中,加水约25mL,乙醚50mL与甲基橙指示液2滴,用盐酸滴定液(0.5mol/L)滴定,随滴随振摇,至水层显持续橙红色,分取水层,置具塞锥形瓶中,乙醚层用水5mL洗涤,洗涤液并入锥形瓶中,加乙醚20mL,继续用盐酸滴定液(0.5mol/L)滴定,随滴随振摇,至水层显持续橙红色,即得,每1mL的盐酸滴定液(0.5mol/L)相当于72.06mg的C7H5O2Na。 本品按干燥品计算,含C7H5O2Na不得少于99.0% 三、说明 1.苯甲酸钠为有机酸的碱金属盐,显碱性,可用盐酸标准液滴定。 COONa +HCl COOH +NaCl 在水溶液中滴定时,由于碱性较弱(Pk b=9.80)突跃不明显,故加入与水不相溶混的溶剂乙醚提除反应生成物苯甲酸,使反应定量完成,同时也避免了苯甲酸在瓶中析出影响终点的观察。 2.滴定时应充分振摇,使生成的苯甲酸转入乙醚层。 3.在振摇和分取水层时,应避免样品的损失,滴定前,应用乙醚检查分液漏斗是否严密。 四、思考题 1.乙醚为什么要分两次加入?第一次滴定至水层显持续橙红色时,是否已达终点?为什么? 2.分取水层后乙醚层用5mL水洗涤的目的是什么? 实验五阿司匹林片的分析 一、目的 1.掌握片剂分析的特点及赋形剂的干扰与排除方法。 2.掌握阿司匹林片鉴别、检查、含量测定的原理及方法。 二、操作 [鉴别] 1.取本品的细粉适量(约相当于阿司匹林0.1g),加水10mL煮沸,放冷,加三氯化铁试液1滴,即显紫堇色。 2.取本品的细粉(约相当于阿司匹林0.5g),加碳酸钠试液10mL,振摇后,放置5分钟,滤过,滤液煮沸2分钟,放冷,加过量的稀硫酸,即析出白色沉淀,并发生醋酸的臭气。 [检查]

模式识别第二次上机实验报告

北京科技大学计算机与通信工程学院 模式分类第二次上机实验报告 姓名:XXXXXX 学号:00000000 班级:电信11 时间:2014-04-16

一、实验目的 1.掌握支持向量机(SVM)的原理、核函数类型选择以及核参数选择原则等; 二、实验内容 2.准备好数据,首先要把数据转换成Libsvm软件包要求的数据格式为: label index1:value1 index2:value2 ... 其中对于分类来说label为类标识,指定数据的种类;对于回归来说label为目标值。(我主要要用到回归) Index是从1开始的自然数,value是每一维的特征值。 该过程可以自己使用excel或者编写程序来完成,也可以使用网络上的FormatDataLibsvm.xls来完成。FormatDataLibsvm.xls使用说明: 先将数据按照下列格式存放(注意label放最后面): value1 value2 label value1 value2 label 然后将以上数据粘贴到FormatDataLibsvm.xls中的最左上角单元格,接着工具->宏执行行FormatDataToLibsvm宏。就可以得到libsvm要求的数据格式。将该数据存放到文本文件中进行下一步的处理。 3.对数据进行归一化。 该过程要用到libsvm软件包中的svm-scale.exe Svm-scale用法: 用法:svmscale [-l lower] [-u upper] [-y y_lower y_upper] [-s save_filename] [-r restore_filename] filename (缺省值:lower = -1,upper = 1,没有对y进行缩放)其中,-l:数据下限标记;lower:缩放后数据下限;-u:数据上限标记;upper:缩放后数据上限;-y:是否对目标值同时进行缩放;y_lower为下限值,y_upper为上限值;(回归需要对目标进行缩放,因此该参数可以设定为–y -1 1 )-s save_filename:表示将缩放的规则保存为文件save_filename;-r restore_filename:表示将缩放规则文件restore_filename载入后按此缩放;filename:待缩放的数据文件(要求满足前面所述的格式)。缩放规则文件可以用文本浏览器打开,看到其格式为: y lower upper min max x lower upper index1 min1 max1 index2 min2 max2 其中的lower 与upper 与使用时所设置的lower 与upper 含义相同;index 表示特征序号;min 转换前该特征的最小值;max 转换前该特征的最大值。数据集的缩放结果在此情况下通过DOS窗口输出,当然也可以通过DOS的文件重定向符号“>”将结果另存为指定的文件。该文件中的参数可用于最后面对目标值的反归一化。反归一化的公式为: (Value-lower)*(max-min)/(upper - lower)+lower 其中value为归一化后的值,其他参数与前面介绍的相同。 建议将训练数据集与测试数据集放在同一个文本文件中一起归一化,然后再将归一化结果分成训练集和测试集。 4.训练数据,生成模型。 用法:svmtrain [options] training_set_file [model_file] 其中,options(操作参数):可用的选项即表示的涵义如下所示-s svm类型:设置SVM 类型,默

计算机组成原理实验2实验报告

课程名称计算机组成原理 课程代码6013749 实验时间2013 年12 月15 日 指导单位 指导教师 学生姓名年级 学号专业 成绩 实验名称 实验地点 6A-417 实验二通用寄存器单元实验 实验类型验证实验学时8 实验日期 实验目的和要求: 目的:1.了解通用寄存器的组成和硬件电路; 2 .利用通用寄存器实现数据的置数、左移、右移等功能 要求:按照实验步骤完成实验项目,实现通用寄存器移位操作。了解通用寄存器的工作原理运用。实验环境(实验设备) :CPT实验机,连线

实验原理及内容 1.实验原理 <1>通用寄存器单元的工作原理: 通用寄存器的核心部件为2片GAL,它具有锁存、左移、右移、保存等功能。各个功能都由X1、X2信号和工作脉冲RACK来决定。当置ERA=0、X0=1、X1=1,RACK有上升沿时,把总线上的数据打入通用寄存器。可通过设置X1、X0来指定通用寄存器工作方式,通用寄存器的输出端Q0~Q7接入判零电路。LED(ZD)亮时,表示当前通用寄存器内数据为0。 输出缓冲器采用74LS244,当控制信号RA-O为低时,74LS244开通,把通用寄存器内容输出到总线;当控制信号RA-O为高时,74LS244的输出为高阻。 图1 通用寄存器原理图 <2>寄存器实验构成 1.通用寄存器由2片GAL构成8位字长的寄存器单元。8 芯插座RA-IN作为数据输入端,可通过端8芯扁平电缆, 把数据数据输入端连接到数据总线上。 2.数据输出由一片74LS244(输出缓冲器)来控制。用8 芯插座RA-OUT作为数据输出端,可通过端8芯扁平电 缆,把数据数据输出端连接到数据总线上。 3.判零和进位电路由1片GAL、1片7474和一些常规芯片 组成,用2个LED(ZD、CY)发光管分别显示其状态。

实验报告模板

实验报告 (2013 / 2014 学年第二学期) 课程名称Java语言程序设计 实验名称综合图形界面程序设计 实验时间2014年5月5日 指导单位计算机学院软件教学中心 指导教师薛景 学生姓名臧玉付班级学号12001037 计算机科学与技术学院(系)计算机学院专业 (计算机通信)

2、编写一个简单的计算器软件,实现简单的四则运算。(请在下方空白处填写本程序的全部 ..程序代码及软件界面截图) import java.awt.BorderLayout; import java.awt.GridLayout; import java.awt.event.ActionEvent; import java.awt.event.ActionListener; import javax.swing.JButton; import javax.swing.JFrame; import javax.swing.JPanel; import javax.swing.JTextArea; import javax.swing.JTextField; public class test extends JFrame { private final int BUTTON_WIDTH=50; private final int BUTTON_HEIGHT=40; JButton one=new JButton("1"); JButton two=new JButton("2"); JButton three=new JButton("3"); JButton four=new JButton("4"); JButton five=new JButton("5"); JButton six=new JButton("6"); JButton seven=new JButton("7"); JButton eight=new JButton("8"); JButton nine=new JButton("9"); JButton zero=new JButton("0"); JButton DOT=new JButton("."); JButton ADD=new JButton("+"); JButton SUB=new JButton("-"); JButton MUL=new JButton("*"); JButton DIV=new JButton("/"); JButton EQU=new JButton("=");

生物医学工程大实验报告

心电检测实验 实验目的 1.复习放大器,滤波器等相关知识, 了解心电测量的原理,并学习用生理信号采集系统记录人体心电图。 2.要求掌握心电测量电路的硬件实现方法,锻炼电路板的焊接与调试能力. 3.学习正常心电图中各波的命名与波形,了解其生理意义。 实验器材 信号发生器,电源,示波器,电机夹,导线若干,电路板一块 实验原理 1.心脏的基本构造和心电图(ECG) 心脏处于人体的循环系统的中心,主要由心肌构成,心肌是可兴奋组织,它的收缩和舒张是人体血液循环的动力;心肌将心脏分隔成左,右心房和心室四个心腔,腔间有瓣膜控制血液在房室间的流动,通过动脉血管将氧和酶等各种营养物质供给全身组织,并将静脉回流带来的组织代谢废物运走。 心脏是自律性器官,有特殊起博心肌细胞和神经传导树支(束),包括窦房结,结间束,房室结,房室束,左右束支;在起博心肌细胞(窦房结内)的自律作用下,通过房、室、神经束的传导使心肌收缩和舒张完成心脏的博动;另外,参于循环系统调节的有:交感神经,兴奋时通过肾上腺素使心率加快,而副交感神经兴奋时使心率变慢,还

有化学性的体液因素也可影响心脏的博动。 神经细胞元的放电过程已得到实验认证,心脏特殊起博心肌细胞博动和神经传导树支(束)的传导过程都是神经细胞元放电和传导的过程,因此,可通过在人体体表层安放灵敏度很高的电极接受这些微弱的心脏电活动,称为ECG(electrocardiogram)---心电图,早在1903年就发现心电图及基本测量方法;心电图机检查人体的ECG,判断心脏活动正常与否仍是医院目前首选的检查手段。 标准ECG及参数如下: 典型心电图波形 目前ECG的测量技术已很成熟,标准ECG都打印在栅格纸上,标明X方向每格0.04秒,Y方向每格0.1mv.一般来说,P波表征心脏收缩期开始;QRS复合波是心室收缩的结果,指示心室收缩期开始;T波是心室舒张的结果,将延续到下一个P波止. ECG测量基本导联三角形(肢体):

计算机视觉第二次作业实验报告

大学计算机视觉实验报告 摄像机标定 :振强 学号:451 时间:2016.11.23

一、实验目的 学习使用OpenCV并利用OpenCV进行摄像机标定,编程实现,给出实验结果和分析。 二、实验原理 2.1摄像机标定的作用 在计算机视觉应用问题中,有时需要利用二位图像还原三维空间中的物体,从二维图像信息出发计算三维空间物体的几何信息的过程中,三维空间中某点的位置与二维图像中对应点之间的相互关系是由摄像机的几何模型决定的,这些几何模型的参数就是摄像机参数,而这些参数通常是未知的,摄像机标定实验的作用就是通过计算确定摄像机的几何、光学参数,摄像机相对于世界坐标系的方位。 2.2摄像机标定的基本原理 2.2.1摄像机成像模型 摄像机成像模型是摄像机标定的基础,确定了成像模型才能确定摄像机外参数的个数和求解的方法。计算机视觉研究中,三维空间中的物体到像平面的投影关系即为成像模型,理想的投影成像模型是光学中的中心投影,也称为针孔模型。实际摄像系统由透镜和透镜组组成,可以由针孔模型近似模拟摄像机成像模型。 图2.1 针孔成像 2.2.2坐标变换 在实际摄像机的使用过程中,为方便计算人们常常设置多个坐标系,因此空间点的成像过程必然涉及到许多坐标系之间的相互转化,下面主要阐述几个重要坐标系之间的转换关系。

2.2.2.1世界坐标系--摄像机坐标系 图2.2 世界坐标系与摄像机坐标系空间关系 世界坐标系与摄像机坐标系之间的转换关系为: ????? ? ????????????=???? ????????111w w w T c c c Z Y X O T R Z Y X R 和T 分别是从世界坐标系到摄像机坐标系的旋转变换和平移变换系数,反映的是世界坐标系和摄像机坐标系之间的关系,因此称为外参数。 2.2.2.2物理坐标系--像素坐标系 图2.3 像素坐标系

电力系统经济学基础实验2实验报告

电力系统经济学基础实验 :世昌 学号:201100190242 2014.05.25

实验二输电网对电能交易的影响 实验目的:通过实验,了解电力市场中输电网的运营,理解节点电价的计算、阻塞对电能交易的影响以及电网安全评价等;理解可用输电能力的计算。 实验条件: 1.采用PowerWorld仿真软件。 2.实验系统的组成: 实验系统是由四条输电线、五台机组组成的三节点系统,线路参数、负荷分布以及发电机参数分别见表1、表2和表3。 表1.线路参数 表2.负荷分布

表3.发电机参数 实验容: (一)逐次进行如下实验 1.在PowerWorld中建立实验系统。采用PowerWord中的现成试验系统版本

2.用最优潮流求解系统的最优安全经济调度。记录潮流和节点电价,并回答:

(1)节点电价与机组的边际成本间是什么关系? 答:根据上述构建的模型,节点的边际价格等于向该节点增加单位功率负荷供电时成本的增加量。而增加节点3处的单位功率(使其负荷变为301)节点3处的电价仍然是7.5$/Mwh,此价格由边际机组2的成本决定。 (2)哪台机组是边际机组? 答;机组2是边际机组 (3)比较并解释边际机组和非边际机组所获利润的不同。 答:上述系统中机组2为边际机组,发电边际成本为7.5$/Mwh,机组1为完全带负荷机组,发电边际成本为5$/Mwh。由于完全带负荷机组已经达到其发电量上限故多余的负荷应由边际机组提供,此时的市场价格取决于边际机组能接受的价格,此价格高于完全带负荷机组发电成本(非边际机组),非边际机组按此价格参与市场交易将获得跟大的收益。 (4)在节点2增加1MW负荷,目标函数的增加值为多少?与节点2的节点电价之间是什么关系? 答:

实验报告模板.doc

实验报告模板 不知道如何写实验报告的朋友,下面请看我给大家整理收集的实验报告模板,希望对大家有帮助。 实验报告模板1 一、演示目的 气体放电存在多种形式,如电晕放电、电弧放电和火花放电等,通过此演示实验观察火花放电的发生过程及条件。 二、原理 首先让尖端电极和球型电极与平板电极的距离相等。尖端电极放电,而球型电极未放电。这是由于电荷在导体上的分布与导体的曲率半径有关。导体上曲率半径越小的地方电荷积聚越多(尖端电极处),两极之间的电场越强,空气层被击穿。反之越少(球型电极处),两极之间的电场越弱,空气层未被击穿。当尖端电极与平板电极之间的距离大于球型电极与平板电极之间的距离时,其间的电场较弱,不能击穿空气层。而此时球型电极与平板电极之间的距离最近,放电只能在此处发生。 三、装置 一个尖端电极和一个球型电极及平板电极。 四、现象演示 让尖端电极和球型电极与平板电极的距离相等。尖端电极放电,而球型电极未放电。接着让尖端电极与平板电极之间的距离大于球型电极与平板电极之间的距离,放电在球型电极与平板电极之间发生

雷电暴风雨时,最好不要在空旷平坦的田野上行走。为什么? 实验报告模板2 一、实验目的及要求: 本实例是要创建边框为1像素的表格。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域网,并且接入国际互联网。 2、安装windows xp操作系统;建立iis服务器环境,支持asp。 3、安装网页三剑客(dreamweaver mx;flash mx;fireworks mx)等网页设计软件; 4、安装acdsee、photoshop等图形处理与制作软件; 5、其他一些动画与图形处理或制作软件。 三、实验原理 创建边框为1像素的表格。 四、实验方法与步骤 1) 在文档中,单击表格""按钮,在对话框中将"单元格间距"设置为"1"。 2) 选中插入的表格,将"背景颜色"设置为"黑色"(#0000000)。 3) 在表格中选中所有的单元格,在"属性"面版中将"背景颜色"设置为"白色"(#ffffff)。 4) 设置完毕,保存页面,按下"f"键预览。 五、实验结果

病理生理实验报告

实验一组织晶体渗透压改变在水肿发生中 的作用(水肿) 实验目的:通过实验了解组织晶体渗透压的改变在水肿发生中的意义,加深对水肿发生机理的理解。 实验动物:蟾蜍2只,要求体重、大小相仿。 器材与药品: 200克电子天平1台,盛水玻璃缸2个,2m1注射器连4号针头2支,脱脂棉球、纱布块适量。%氯化钠液和20%氯化钠液各10ml。 实验方法: 1. 取蟾蜍2只分别称重,注意观察背部外形。 2. 向一只蟾蜍背部淋巴囊内注入0.65%氯化钠液(即蛙生理盐水)2 m1,向另一只蟾蜍背部淋巴囊内注入20%氯化钠液2ml(蟾蜍皮下淋巴囊分布见图2-1),然后分别放入装有水的玻璃缸内。 3.1小时后由水中取出蟾蜍,擦掉体表浮水后分别称重,同时仔细观察背部外形改变。 4. 解剖蟾蜍:由椎骨孔破坏神经系统。重点观察背部淋巴囊的变化。解剖观察其它脏器和解剖结构。 实验结果:将观测到的各种实验结果记入下表内 注前体重注前背部外 形注后体重注后背部外 形 注%氯化钠正常平坦正常平坦注20%氯化正常平坦变肥

钠 结果分析:实验中这两只蟾蜍分别注射了不同浓度的氯化钠溶液,组织晶体渗透压升高,两只都有一定的吸水能力,注射低浓度氯化钠溶液的青蛙吸水较少,体重只有轻微的增长,体型无明显变化;注射高浓度氯化钠溶液的青蛙吸水较多,体重有大幅度的增长,体型出现明显变化。结果表明晶体在体内的浓度越高,吸水性越强。 心得:

实验二缺氧 实验目的:通过复制外呼吸性缺氧、血液性缺氧及组织中毒性缺氧的动物模型。 实验动物:成年小白鼠4只. 器材与药品: 1.外呼吸性缺氧:带有橡皮塞的250毫升广口瓶1只(见图3—1),搪瓷盘1只、镊子、剪子各2把,100g电子天平1台。钠石灰10g,凡士林1瓶。 2.血液性缺氧:带有管道瓶塞的250m1广口瓶和三角烧瓶各2只,酒精灯1盏,三角架3个,充满一氧化碳的皮球胆1只,弹簧夹4个,lml注射器1支。甲酸、浓硫酸各300ml,2%亚硝酸钠溶液10ml 3.组织中毒性缺氧:1 m1注射器1支。%氰化钾溶液。 实验方法: 一、外呼吸性缺氧 1.取小白鼠重只称重后放入广口瓶内,瓶内预先加入钠石灰5g。观察动物一般状况,如呼吸频率、呼吸状态,皮肤、粘膜色彩、精神状态等。 2.旋紧瓶塞,用弹簧夹夹闭通气胶管,防止漏气。记录时间,观察上述各项指标的变化,直至动物死亡。待本次实验内容全部完成之后,一起剖检动物,对比观察血液颜色的改变和其它变化(以下皆同)。 二、血液性缺氧 (一)一氧化碳中毒

相关主题
文本预览
相关文档 最新文档