当前位置:文档之家› Verilog实验报告(电子)

Verilog实验报告(电子)

Verilog实验报告(电子)
Verilog实验报告(电子)

西安邮电大学Verilog HDL大作业报告书

学院名称:电子工程学院

学生姓名:

专业名称:电子信息工程

班级:

实验一异或门设计

一、实验目的

(1)熟悉Modelsim 软件

(2)掌握Modelsim 软件的编译、仿真方法

(3)熟练运用Modelsim 软件进行HDL 程序设计开发

二、实验内容

my_or,my_and和my_not门构造一个双输入端的xor门,其功能是计算z=x’y+xy’,其中x和y为输入,z为输出;编写激励模块对x和y的四种输入组合进行测试仿真

1、实验要求

用Verilog HDL 程序实现一个异或门,Modelism仿真,观察效果。

2、步骤

1、建立工程

2、添加文件到工程

3、编译文件

4、查看编译后的设计单元

5、将信号加入波形窗口

6、运行仿真

实验描述如下:

module my_and(a_out,a1,a2);

output a_out;

input a1,a2;

wire s1;

nand(s1,a1,a2);

nand(a_out,s1,1'b1);

endmodule

module my_not(n_out,b);

output n_out;

input b;

nand(n_out,b,1'b1); endmodule

module my_or(o_out,c1,c2);

output o_out;

input c1,c2;

wire s1,s2;

nand(s1,c1,1'b1);

nand(s2,c2,1'b1);

nand(o_out,s1,s2); endmodule

module MY_XOR(z,x,y);

output z;

input x,y;

wire a1,a2,n1,n2;

my_not STEP01(n1,x);

my_not STEP02(n2,y);

my_and STEP03(a1,n1,y);

my_and STEP04(a2,n2,x);

my_or STEP05(z,a1,a2); Endmodule

module stimulus;

reg X,Y;

wire OUTPUT;

MY_XOR xor01(OUTPUT,X,Y);

initial

begin

$monitor($time,"X=%b,Y=%b --- OUTPUT=%b\n",X,Y,OUTPUT);

end

initial

begin

X = 1'b0; Y = 1'b0;

#5 X = 1'b1; Y = 1'b0;

#5 X = 1'b1; Y = 1'b1;

#5 X = 1'b0; Y = 1'b1;

end

endmodule

二、实验结果

波形图:

三、分析和心得

通过这次的实验,我基本熟悉Modelsim 软件,掌握了Modelsim 软件的编译、仿真方法。同时在编写程序的过程中,加深了我对课上所讲的HDL的语法的认识。

实验二二进制全加器设计

一、实验目的

(1)熟悉Verilog HDL 元件实例化语句的作用

(2)熟悉全加器的工作原理

(3)用Verilog HDL 语言设计一位二进制全加器,并仿真,验证其功能二、实验内容

一位全加器使用乘积项之和的形式可以表示为:

sum=a·b·c_in+a’·b·c_in’+a’·b’·c_in+a·b’·c_in’

c_out=a·b+b·c_in+a·c_in

其中a,b和c_in为输入,sum和c_out为输出,只使用与门,或门,非门实现一个一位全加器,写出Verilog描述,限制是每个门最多只能有四个输入端。编写激励模块对其功能进行检查,并对全部的输入组合输入组合进行测试。

实验要求

用 Verilog HDL 语言描述一位全加器,并使用 modelsim仿真验证结果。

module fulladd(sum,c_out,a,b,c_in);

output sum,c_out;

input a,b,c_in;

wire s1,s2,s3,s4,a1,b1,c_in1,c1,c2,c3;

and(s1,a,b,c_in);

not(a1,a);

not(b1,b);

not(c_in1,c_in);

and(s2,a1,b,c_in1);

and(s3,a1,b1,c_in);

and(s4,a,b1,c_in1);

and(c1,a,b);

and(c2,b,c_in);

and(c3,a,c_in);

or(sum,s1,s2,s3,s4);

or(c_out,c1,c2,c3);

endmodule

module stimulus;

reg A,B,C_IN;

wire SUM,C_OUT;

fulladd FA1(SUM,C_OUT,A,B,C_IN);

initial

begin

$monitor($time,"A=%b,

B=%b,C_IN=%b,---C_OUT=%b,SUM=%b\n",A,B,C_IN,C_OUT,SUM);

end

//???????

initial

begin

A=1'd0;B=1'd0;C_IN=1'b0;

#5 A=1'd0;B=1'd0;C_IN=1'b1;

#5 A=1'd0;B=1'd1;C_IN=1'b0;

#5 A=1'd0;B=1'd1;C_IN=1'b1;

#5 A=1'd1;B=1'd0;C_IN=1'b0;

#5 A=1'd1;B=1'd0;C_IN=1'b1;

#5 A=1'd1;B=1'd1;C_IN=1'b0;

#5 A=1'd1;B=1'd1;C_IN=1'b1;

End

实验结果波形:

三.分析和心得

通过这次试验我熟悉了Verilog HDL 元件例化语句的作用,并且熟悉全加器的工作原理。在一位全加器的过程中,也了解了如何调用模块。

实验三使用JK触发器设计一个计数器一、实验目的

(1)熟悉Modelsim 软件

(2)掌握Modelsim 软件的编译、仿真方法

(3)熟练运用Modelsim 软件进行HDL 程序设计开发

二、实验内容

一个同步计数器可以使用主从JK触发器来设计。设计一个同步计数器,其逻辑图和JK触发器的逻辑图如书中图所示。清零信号clear低电平有效,输入数据在时钟信号clock的上升沿被锁存,触发器在clock的下降沿输出;当

count_enable信号为低电平时停止计数。写出同步计数器的Verilog描述和激

励模块,在激励模块中使用clear和count_enable对计数器进行测试,并显示输出计数Q[3:0]。

技术规范:

本次试验中共有三个模块,分别是JK主从触发器设计模块,计数器设计模块及激励模块。对于JK触发器,共有四个输入端(j,k,clear,clock),两个输出端(q,qbar),根据其实际电路结构做出相应的设计;对于计数器模块,有三个输入端(clear,clock,counter_clock),四个输出(Q[3:0]),使用的是四个JK触发器和门电路组合,采用同步清零和同步脉冲构成四位同步计数器;激励模块中根据技术模块输入端口进行相关赋值以便进行仿真观察;

三.实验步骤:

1.在modulesim软件中使用数据流建模进行四位计数器设计及输入;

2.进行编译及仿真。

四.源代码:

JK触发器模块:

module m_c_jkff(q,qbar,J,K,clear,clock);

output q,qbar;

input J,K,clear,clock;

wire a,b,c,d,y,ybar,cbar;

assign cbar=~clock;

assign #1 a=~(J & qbar & clock & clear),

b=~(K & q & clock),

y=~(a & ybar),

ybar=~(y & b & clear),

c=~(y & cbar),

d=~(ybar & cbar);

assign #1 q=~(c & qbar);

assign #1 qbar=~(d & clear & q);

endmodule

计数器模块:

module four_count_ff(Q,clear,clock,count_enable);

output [3:0] Q;

input clear,clock,count_enable;

wire a1,a2,a3;

assign a1=count_enable & Q[0],

a2=a1&Q[1], a3=a2&Q[2];

m_c_jkff m1(Q[0],,count_enable,count_enable,clear,clock); m_c_jkff m2(Q[1],,a1,a1,clear,clock);

m_c_jkff m3(Q[2],,a2,a2,clear,clock);

m_c_jkff m4(Q[3],,a3,a3,clear,clock);

endmodule

激励模块:

module stimulus;

reg clock,clear,count_enable;

wire [3:0] Q;

initial

$monitor($time,"Count Q = %b Clear = %b",Q[3:0],clear); four_count_ff f1(Q,clear,clock,count_enable);

initial

begin

clear=1'b0;

count_enable=1'b1;

#10 clear=1'b1;

//#1 count_enable=1'b1;

//#50 clear=1'b0;

//#100 count_enable=1'b0;

//#100 clear=1'b0;

//#50 clear=1'b1;

//#50 count_enable=1'b1;

end

initial

begin

clock=1'b0;

forever #20 clock=~clock;

end

initial

#1000 $finish;

Endmodule

波形图:

实验四八功能算术运算单元

一、实验目的

(1)熟悉Modelsim 软件

(2)掌握Modelsim 软件的编译、仿真方法

(3)熟练运用Modelsim 软件进行HDL 程序设计开发

二、实验内容

使用case语句设计八门功能的算术运算单元(ALU),输入信号a,b为4位,功能选择信号select为3位,输出信号out为5位。忽略输出结果中的上溢和下溢。

三.实验步骤:

1.在modulesim软件中使用case语句设计八门功能的算术运算单元(ALU) 2. 进行编译及仿真。

module alu(out,a,b,select);

output [4:0] out;

input [3:0] a, b;

input [2:0] select;

reg [4:0] out;

always @(a or b or select)

begin

case (select)

3'b000 : out = a;

3'b001 : out = a+b;

3'b010 : out = a-b;

3'b011 : out = a/b;

3'b100 : out = a%b;

3'b101 : out = a<<1;

3'b110 : out = a>>1;

3'b111 : out = a>b;

default : $display("Invalid ALU control signal");

endcase

end

endmodule

module stimulus;

reg [3:0] A,B;

reg [2:0] SELECT;

wire [4:0] OUT;

initial

$monitor($time," A= %b B= %b SELECT= %b OUT= %b ",A[3:0],B[3:0],SELECT[2:0],OUT[4:0]);

alu alu1(OUT,A,B,SELECT);

initial

begin

A = 4'b0011;

B = 4'b1011;SELECT = 3'b000;

repeat(7)

begin

SELECT = #10 3'b001+SELECT;

end

#10 A = 4'b1111;B = 4'b1001;SELECT = 3'b000;

repeat(7)

begin

SELECT = #10 3'b001+SELECT;

end

#10 A = 4'b0000;B = 4'b0000;SELECT = 3'b000;

repeat(7)

begin

SELECT = #10 3'b001+SELECT;

end

#10 A = 4'b1111;B = 4'b1111;SELECT = 3'b000;

repeat(7)

begin

SELECT = #10 3'b001+SELECT;

end

end

endmodule

波形图:

四.实验中遇到的问题及解决方法:

“清零信号clear低电平有效,输入数据在时钟信号clock上升沿被锁存,触发器在clock下降沿输出;当count-enable为低电平时停止计数。”一开始不能理解,后来经过同学的指导明白了过程。总之,至此实验特别有意义。

实验五八位ALU功能的函数

一、实验目的

(1)熟悉Modelsim 软件

(2)掌握Modelsim 软件的编译、仿真方法

(3)熟练运用Modelsim 软件进行HDL 程序设计开发

二、实验内容

设计一个实现八位ALU功能的函数,输入信号a,b为4位,功能选择信号select 为3位,输出信号out为5位。忽略输出结果中的上溢和下溢。

三.实验步骤:

1.在modulesim软件中设计一个实现八位ALU功能的函数。

2. 进行编译及仿真。

module bit8_ALU(out,a,b,select);

output [4:0] out;

input [3:0] a,b;

input [2:0] select;

reg [4:0] out;

// reg [3:0] a,b;

// reg [2:0] select;

always @(a or b or select)

begin

out = bit8_function(a,b,select);

end

//??8?ALU????

function [4:0] bit8_function;

input [3:0] a,b;

input [2:0] sel;

parameter S0 = 3'b000,

S1 = 3'b001,

S2 = 3'b010,

S3 = 3'b011,

S4 = 3'b100,

S5 = 3'b101,

S6 = 3'b110,

S7 = 3'b111;

begin

case(sel)

S0:bit8_function = a;

S1:bit8_function = a+b;

S2:bit8_function = a-b;

S3:bit8_function = a/b;

S4:bit8_function = a%b;

S5:bit8_function = a<<1;

S6:bit8_function = a>>1;

S7:bit8_function = (a>b);

default : $display("Invalid ALU control signal");

endcase

end

endfunction

endmodule

module stimulus;

reg [3:0] A,B;

reg [2:0] SELECT;

wire [4:0] OUT;

bit8_ALU alu(OUT,A,B,SELECT);

initial

begin

$monitor($time,"A=%b, B=%b, SELECT=%b, --- OUT=%b\n",A,B,SELECT,OUT);

end

initial

begin

A=4'b0000;B=4'b0000; SELECT=3'b000;

repeat(7)

begin

SELECT = #10 SELECT + 3'b001;

end

#100 A=4'b1111;B=4'b0000; SELECT=3'b000;

repeat(7)

begin

SELECT = #10 SELECT + 3'b001;

end

#100 A=4'b0000;B=4'b1111; SELECT=3'b000;

repeat(7)

begin

SELECT = #10 SELECT + 3'b001;

end

#100 A=4'b0011;B=4'b0011; SELECT=3'b000;

repeat(7)

begin

SELECT = #10 SELECT + 3'b001;

end

#100 A=4'b1111;B=4'b1111; SELECT=3'b000;

repeat(7)

begin

SELECT = #10 SELECT + 3'b001;

end

end

Endmodule

波形图:

四.实验中遇到的问题及解决方法:

这个题目比较简单,因为与之前的实验内容基本相同,只是变化成为函数的形式而已,因此做实验时没有遇到什么大问题。

实验六状态自动机

一、实验目的

(1)熟悉Modelsim 软件

(2)掌握Modelsim 软件的编译、仿真方法

(3)熟练运用Modelsim 软件进行HDL 程序设计开发

二、实验内容

使用同步有限状态自动机方法设计一个电路,它的引脚in接受一位的输入流。每当检测到模式10101时,输出引脚reset被赋值为高电平引脚。reset引脚以同步方式初始化电路。输入引脚clk 用于给电路提供时针信号。使用身边现有的任何工艺库综合该电路,优化电路,使其达到最快速度。把同样的激励应用到RTL和们级网表上,比较它们的输出

三.实验步骤:

1.在modulesim软件中使用同步有限状态自动机方法设计一个电路。

2. 进行编译及仿真。

module select(in,clk,reset,match);

input in;

input clk;

input reset;

output match;

wire match;

wire [4:0] NEXT_STATE;

reg [4:0] PRES_STATE;

parameter s1=5'b00000;

parameter s2=5'b00001;

parameter s3=5'b00010;

parameter s4=5'b00101;

parameter s5=5'b01010;

parameter s6=5'b10101;

function [5:0] fsm;

input fsm_in;

input [4:0] fsm_PRES_STATE;

reg fsm_match;

begin

case(fsm_PRES_STATE)

s1:

begin

if(fsm_in==1'b1)

begin

fsm_match=1'b0;

fsm_NEXT_STATE=s2;

end

else if(fsm_in==1'b0)

begin

fsm_match=1'b0;

fsm_NEXT_STATE=s1;

end

end

s2:

begin

if(fsm_in==1'b1)

begin

fsm_match=1'b0;

三门峡市外高2019届高三数学暑假作业

三门峡市外高2019届高三数学暑假作业 第七章 不等式、推理与证明 一、选择题 1.已知a <0,-1<b <0,那么下列不等式成立的是( ). A .a >ab >ab 2 B .ab 2>ab >a C .ab >a >ab 2 D .ab >ab 2>a 2.下面四个条件中,使a >b 成立的充分而不必要的条件是( ). A .a >b +1 B .a >b -1 C .a 2>b 2 D .a 3>b 3 3.已知a ,b ,c 满足c <b <a ,且ac <0.那么下列选项中一定成立的是( ). A .ab >ac B .c (b -a )<0 C .cb 2 <ab 2 D .ac (a -c )>0 4.若a >0,b >0,则不等式-b <1 x <a 等价于( ). A .-1b <x <0或0<x <1a B .-1a <x <1b C .x <-1a 或x >1b D .x <-1b 或x >1a 5.已知ax 2-bx -1≥0的解集是?? ? ???-- 31,21,则不等式x 2-bx -a <0的解集是( ). A .(2,3) B .(-∞,2)∪(3,+∞) C.??????21,31 D.?? ? ??+∞???? ??∞-,2131, 6.对于实数x ,规定[x ]表示不大于x 的最大整数,那么不等式4[x ]2-36[x ]+45<0成立的x 的取值范围是( ). A.?? ? ??215, 23 B .[2,8] C .[2,8) D .[2,7] 7.设函数f (x )=? ???? -2,x >0, x 2+bx +c ,x ≤0,若f (-4)=f (0),f (-2)=0,则关于x 的不等式f (x )≤1的解集 为( ). A .(-∞,-3]∪[-1,+∞) B .[-3,-1] C .[-3,-1]∪(0,+∞) D .[-3,+∞) 8.设m >1,在约束条件???? ? y ≥x ,y ≤mx , x +y ≤1 下,目标函数z =x +my 的最大值小于2,则m 的取值范围为. A .(1,1+2) B .(1+2,+∞) C .(1,3) D .(3,+∞) 9.已知0<x <1,则x (3-3x )取得最大值时x 的值为( ). A.13 B.12 C.34 D.2 3 10.若正实数a ,b 满足a +b =1,则( ). A.1a +1b 有最大值4 B .ab 有最小值14 C.a +b 有最大值 2 D .a 2+b 2有最小值2 2 11.已知x >0,y >0,x ,a ,b ,y 成等差数列,x ,c ,d ,y 成等比数列,则(a +b )2 cd 的最小值是( ). A .0 B .1 C .2 D .4 12.用数学归纳法证明1-12+13-14+…+12n -1-12n =1n +1+1n +2+…+1 2n ,则当n =k +1时,左 端应在n =k 的基础上加上( ). A.12k +2 B .-12k +2 C.12k +1-1 2k +2 D.12k +1+12k +2 二、填空题 13.用数学归纳法证明1+12+13+…+1 2n -1<n (n ∈N ,且n >1),第一步要证的不等式是________. 14.若不等式2x -1>m (x 2-1)对满足-2≤m ≤2的所有m 都成立,则x 的取值范围为________. 15.已知变量x ,y 满足约束条件???? ? x +4y -13≤0,x -2y -1≤0, x +y -4≥0,且有无穷多个点(x ,y )使目标函数z =x +my 取 得最小值,则m =________. 16.在平面直角坐标系xOy 中,过坐标原点的一条直线与函数f (x )=2 x 的图象交于P ,Q 两点,则线 段PQ 长的最小值是________. 三、解答题 17、(1).若x >1,求x +4 x -1 的最小值? (2)函数y =a 1- x (a >0,a ≠1)的图象恒过定点A ,若点A 在直线mx +ny -1=0(mn >0)上, 求1m +1 n 的最小值? (3)若实数x ,y 满足x 2+y 2+xy =1,求x +y 的最大值?

电力电子电路分析与仿真实验报告模板剖析

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号: 年月日

实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

电子科技大学选修单片机实验报告

电子科技大学学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:熊万安 实验地点:211大楼308 实验时间: 一、实验室名称:单片机技术综合实验室 二、实验项目名称:数码管显示A/D转换电压值及秒表 三、实验学时:12 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉实验板的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、实验板一块 六、实验原理、步骤及内容 试验要求: ①、数码管可在第2位到第4位显示A/D转换的电压值, 可调电压,数码管第5位显示“-”号,第6、7位显示2位学 号;

②、再按按键key1进行切换,此时数码管第6、7位显示从 学号到(学号值+5秒)的循环计时秒表,时间间隔为1秒。 按按键key2时,秒表停止计数,再按按键key2时,秒表继续 计数。按按键key1可切换回任务1的显示。 ③、当电压值大于2伏时,按按键不起作用。 1、硬件设计 2、各部分硬件原理 (相关各部分例如:数码管动态扫描原理;TLC549ADC特征及应用等) (1)数码管动态扫描原理 多位联体的动态数码管段选信号abcdefg和dp(相当于数据线是公用的,而位选信号com是分开的。扫描方法并不难,先把第1个数码管的显示数据送到abcdefg和dp,同时选通com1,而其它数码管的com信号禁止;延时一段时间(通常不超过10ms),再把第二个

数码管的显示数据送到abcdefg和dp,同时选通com2,而其他数码管的com信号禁止;延时一段时间,再显示下一个。注意,扫描整个数码管的频率应当保证在50Hz 以上,否则会看到明显的闪烁。 (2)TLC549ADC特征及应用等 当/CS变为低电平后,TLC549芯片被选中,同时前次转换结果的最高有效位MSB (A7)自DAT端输出,接着要求自CLK端输入8个外部时钟信号,前7个CLK信号的作用,是配合TLC549 输出前次转换结果的A6-A0 位,并为本次转换做准备:在第4个CLK 信号由高至低的跳变之后,片内采样/保持电路对输入模拟量采样开始,第8个CLK 信号的下降沿使片内采样/保持电路进入保持状态并启动A/D开始转换。转换时间为36 个系统时钟周期,最大为17us。直到A/D转换完成前的这段时间内,TLC549 的控制逻辑要求:或者/CS保持高电平,或者CLK 时钟端保持36个系统时钟周期的低电平。由此可见,在自TLC549的CLK 端输入8个外部时钟信号期间需要完成以下工作:读入前次A/D转换结果;对本次转换的输入模

高三上学期文科数学暑假作业(一)函数

高三上学期文科数学暑假作业(一) 函数(必修1第二三章) 一、选择题:在每小题给出的四个选项中,只有一项是符合题目要求的,请把正确答案的代号填在题后的 括号内(本大题共12个小题,每小题5分,共60分)。 1.若函数()y f x =是函数1x y a a a =≠(>0,且)的反函数,且(2)1f =,则()f x =( ) A .x 2log B . x 2 1 C .x 2 1log D .22-x 2.f(x)=???≥<+4 ,24),1(x x x f x ,则()2log 3f = ( ) A .-23 B .11 C .19 D .24 3.函数2 143 x y x x -=++-是 ( ) A .奇函数 B .偶函数 C .非奇非偶函数 D .既是奇函数又是偶函数 4.方程3x +x=3的解所在的区间为 ( ) … A .(0,1) B .(1,2) C .(2,3) D .(3,4) 5.下列四个函数中,在区间(-1,0)上为减函数的是 ( ) A .x y 2log = B .y=cosx C .x y )2 1(-= D .3 1x y = 6.若一系列函数的解析式相同,值域相同,但定义域不同,则称这些函数为“孪生函数”,那么函数解 析式为122 +=x y ,值域为{5,19}的“孪生函数”共有 ( ) A .10个 B .9个 C .8个 D .7个 7.f(x),g(x)是定义在R 上的函数,h(x)=f(x)g(x),则“f(x),g(x)均为奇函数”是“h(x)为偶函数”的( ) A .充要条件 B .充分不必要条件 C .必要不充分条件 D .既不充分也不必要条件 8.已知函数c x ax x f --=2 )(,且0)(>x f 的解集为(-2,1)则函数y=f(-x) ( ) 9.设函数f(x)=ax 2+bx+c(a ≠0),对任意实数t 都有f(2+t)=f(2-t)成立,则函数值f(-1),f(1),f(2),f(5)中,最小的一个不可能是 ( ) , A .)1(-f B .)1(f C .)2(f D .)5(f 10.设函数f(x)(x ∈R) =+=+=)5(),2()()2(,2 1 )1(f f x f x f f 则 ( ) A .0 B .1 C .2 5 D .5

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

Verilog HDL实验报告

HDL实验报告 专业电子科学与技术 姓名 学号 指导老师

1 实验一Modelsim仿真软件的使用 1.1 实验目的 (1)熟悉Modelsim 软件; (2)掌握Modelsim 软件的编译、仿真方法; (3)熟练运用Modelsim 软件进行HDL 程序设计开发。 1.2 实验步骤 (1)学习使用Modelsim软件; (2)分析原理及功能; (3)用Verilog HDL编写程序; (4)编写测试程序进行仿真; (4)观察波形,分析仿真结果是否正确。 1.3 实验内容 用Verilog HDL 程序实现一个异或门,Modelsim 仿真,观察效果。 1.4.1 程序 module my_xor(ina,inb,out); input ina,inb; output out; assign out=ina^inb; endmodule module t_xor; reg ina,inb; wire out; initial begin ina=1'b0; forever #20 ina=~ina; end initial begin inb=1'b0; forever #10 inb=~inb; end my_xor tt(.ina(ina),.inb(inb),.out(out)); endmodule

2 实验二简单组合电路设计 2.1 实验目的 (1)掌握基于Modelsim 的数字电路设计方法; (2)熟练掌握HDL 程序的不同实现方法 2.2 实验步骤 (1)分析原理及功能; (2)根据原理用Verilog HDL编写程序; (3)编写测试程序进行仿真; (4)观察波形,分析仿真结果是否正确。 2.3 实验内容 设计一个三人表决器(高电平表示通过) ,实验内容如下: (1)三个人,一个主裁判,两个副裁判; (2)规则:只要主裁判同意,输出结果为通过;否则,按少数服从多数原则决定是否通过。使用Verilog HDL 程序实现上述实验内容,并使用modelsim 仿真(要求:至少使用两种方法实现上述实验内容和testbench)。 2.4.1 程序 方法1: module voter(v0,v1,v2,y); input v0,v1,v2; output y; assign y=v0|(v1&v2); endmodule 方法2: module voter(v0,v1,v2,y); input v0,v1,v2; output reg y; always @(v0,v1,v2) begin if(v0) y=1;

高三上学期文科数学暑假作业(一)函数

高三上学期文科数学暑假作业(一) 函数(必修1第二三章) 一、选择题:在每小题给出的四个选项中,只有一项是符合题目要求的,请把正确答案的代号填在题后的 括号内(本大题共12个小题,每小题5分,共60分)。 1.若函数()y f x =是函数1x y a a a =≠(>0,且)的反函数,且(2)1f =,则()f x =( ) A .x 2log B . x 2 1 C .x 2 1log D .22-x 2.f(x)=???≥<+4 ,24),1(x x x f x ,则()2log 3f = ( ) A .-23 B .11 C .19 D .24 3.函数2 143 x y x x -=++-是 ( ) A .奇函数 B .偶函数 C .非奇非偶函数 D .既是奇函数又是偶函数 4.方程3x +x=3的解所在的区间为 ( ) A .(0,1) B .(1,2) C .(2,3) D .(3,4) 5.下列四个函数中,在区间(-1,0)上为减函数的是 ( ) A .x y 2log = B .y=cosx C .x y )2 1(-= D .3 1x y = 6.若一系列函数的解析式相同,值域相同,但定义域不同,则称这些函数为“孪生函数”,那么函数解 析式为122 +=x y ,值域为{5,19}的“孪生函数”共有 ( ) A .10个 B .9个 C .8个 D .7个 7.f(x),g(x)是定义在R 上的函数,h(x)=f(x)g(x),则“f(x),g(x)均为奇函数”是“h(x)为偶函数”的( ) A .充要条件 B .充分不必要条件 C .必要不充分条件 D .既不充分也不必要条件 8.已知函数c x ax x f --=2 )(,且0)(>x f 的解集为(-2,1)则函数y=f(-x) ( ) 9.设函数f(x)=ax 2+bx+c(a ≠0),对任意实数t 都有f(2+t)=f(2-t)成立,则函数值f(-1),f(1),f(2),f(5)中,最小的一个不可能是 ( ) A .)1(-f B .)1(f C .)2(f D .)5(f 10.设函数f(x)(x ∈R)=+=+=)5(),2()()2(,2 1 )1(f f x f x f f 则 ( ) A .0 B .1 C .2 5 D .5 11.设a

电子电路实验报告

.东南大学电工电子实验中心 实验报告 课程名称:电子电路实践 第三、四次实验 实验名称:单级低频电压放大器 院(系):专业: 姓名:学号: 实验室:105 实验组别:无 同组人员:无 实验时间:2012年4月15日2012年4月22日评定成绩:审阅老师:

实验目的: 1、掌握单级放大电路的工程估算、安装和调试 2、了解三极管各项基本器件参数、工作点、偏置电路、输入阻抗、输出阻抗、增益、幅频 特性等的基本概念以及测量方法 3、掌握基本的模拟电路的故障检查和排除方法,深化示波器、稳压电源、交流电压表、 函数发生器的使用技能训练 三、预习思考 1、器件资料: 上网查询本实验所用的三极管9013的数据手册,画出三极管封装示意图,标出每个管 将其扁平的一面正对自己,管脚朝下,则从左至右三个管脚依次为e,b,c;封装图如下:

2、 偏置电路: 教材图1-3中偏置电路的名称是什么,简单解释是如何自动调节BJT (半导体三极管)的电流I C 以实现稳定直流工作点的作用的,如果R 1 、R 2取得过大能否再起到稳定直流工作点的作用,为什么? 答: 共发射极偏置电路。 利用12,R R 构成的分压器给三极管基极b 提供电位B U ,又1 BQ I I ,基极电位B U 可近 似地由下式求得:2 12 B C C R U V R R ≈ ?+ 当环境温度升高时,)(CQ EQ I I 增加,电阻E R 上的压降增大,由于基极电位B U 固定,加到发射结上的电压减小,BQ I 减小,从而使CQ I 减小,通过这样的自动调节过程使CQ I 恒定,即实现了稳定直流工作点的作用。 如果12,R R 取得过大,则1I 减小,不能满足12,R R 支路中的电流1 BQ I I 的条件,此时, BQ V 在温度变化时无法保持不变,也就不能起到稳定直流工作点的作用。 3、 电压增益: (I) 对于一个低频放大器,一般希望电压增益足够大,根据您所学的理论知识,分析有 哪些方法可以提高电压增益,分析这些方法各自优缺点,总结出最佳实现方案。 答: 0()() 26(1) C L C L u i be b CQ u R R R R A mV u r r I βββ= =-=- ++ 所以提高电压增益的方法有: 1)增大集电极电阻R C 和负载R L 。缺点:R C 太大,受V CC 的限制,会使电路不能正常工作。 2)Q 点适当选高,即增大I CQ 。缺点:电路耗电大、噪声大 3)选用多级放大电路级联形式来获取足够大的电压增益。缺点:电路较复杂,输出信

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

现代电子技术综合实验报告 熊万安

电子科技大学通信与信息工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:熊万安 实验地点:科A333 实验时间:2016.3.7-2016.3.17 一、实验室名称:电子技术综合实验室 二、实验项目名称:电子技术综合实验 三、实验学时:32 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 试验要求: 1. 数码管第1、2位显示“1-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;同时,每秒钟,蜂鸣器对应发出0.3秒的声音加0.7秒的暂停,对应第8秒到第1秒,声音分别为“多(高

音1)西(7)拉(6)索(5)发(4)米(3)莱(2)朵(中音1)”;数码管第5位显示“-”号,数码管第6、7、8位显示温度值,其中第6、7位显示温度的两位整数,第8位显示1位小数。按按键转到任务2。 2. 停止声音和温度。数码管第1、2位显示“2-”,第3、4位显示学号的最后2位,第5位显示“-”号,第6到第8位显示ADC电压三位数值,按按鍵Key后转到任务3,同时蜂鸣器发出中音2的声音0.3秒; 3. 数码管第1、2位显示“3-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;调节电压值,当其从0变为最大的过程中,8个发光二极管也从最暗(或熄灭)变为最亮,当电压值为最大时,秒表暂停;当电压值为最小时,秒表回到初始值8.0;当电压值是其他值时,数码管又回到第3、4位显示从8.0秒到1.0秒的循环倒计时秒表状态。按按鍵Key回到任务1,同时蜂鸣器发出中音5的声音0.3秒。

高三数学暑假作业 填空题的解法

一 基础再现 1.命题:p 2 {|0}a M x x x ∈=-<;命题:q {|||2}a N x x ∈=<, p 是q 的 条件. 2.函数y=log 3(9-x 2)的定义域为A ,值域为B ,则A ∩B=_______________ 3.若011log 22<++a a a ,则a 的取值范围是 4.已知函数)(x f 在R 上是增函数,)1,3(),1,0(B A -是其图象上的两点,则1)1(<+x f 的解集是 . 5.在等差数列{n a }中,22,16610a a x x --=是方程的两根,则 5691213a a a a a ++++= . 6.若数列}{n a 满足12 (01),1 (1). n n n n n a a a a a +≤≤?=?->?且167a =,则2008a = 7.若角θ的终边经过点(4,3)(0)P a a a -≠,则sin θ= 8.0 20 3sin 702cos 10--= 9.已知cos (α-6π)+sin α=的值是则)6 7sin(,354πα- 10.函数y =2sin x 的单调增区间是 二 感悟解答 1.答案:充分不必要 点评:直接化简集合,在数轴上去比较两集合的关系,从而得出p 是q 的充分不必要条件 2.答案:(-3,2) 点评:直接求得函数的定义域和值域,再取交集

3.答案:112 a << 点评:解:当1212a a >?>时,若011log 22<++a a a ,则21011a a +<<+01a ?<<,∴112 a << 当112002a a >>?<<时,若011log 22<++a a a ,则2111a a +>+?1a >,此时无解! 所以a 的取值范围是 考虑到对数式有意义,结合对数函数的性质,由于底数也有a,所以要分类讨论 4.答案:)2,1(- 点评:将点的坐标写成函数值的形式,利用函数的单调性转换成常规的不等式去求解 5.答案:15 点评:由韦达定理得2,166a a +=借助等差数列的性质最终求解 6.答案: 57 点评:此类题通常要考虑数列的周期性,因此可以由前几项找到规律,然后得出结果 7.答案:35 ± 点评:分a>0和a<0两种情况,取特殊值代入 8.答案:2 点评:三角函数的化简求值一般都要从角、指数的统一入手 9.答案:45 - 点评:解决此类问题关键是要找到条件和问题中角和角的关系,再根据同角三角函数的基本关系,和差角公式计算出结果 10. 答案:[2k π-2π,2k π+2π](k ∈Z ) 点评:根据复合函数的单调性将研究复合函数的单调性问题转换为简单函数问题 三 范例剖析 例1 设[]x 表示不大于x 的最大整数,集合{}2|2[]3A x x x =-=,1| 288x B x ??=<

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

北航verilog实验报告(全)

目录 实验一 (2) 实验二 (9) 实验三 (21) 实验四 (44)

实验一 实验目的:熟悉硬件开发流程,掌握Modelsim设计与仿真环境,学会简单组合逻辑电路、简单时序逻辑电路设计,不要求掌握综合和综合后仿真。 实验内容:必做实验:练习一、简单的组合逻辑设计 练习二、简单分频时序逻辑电路的设计 选做实验:选做一、练习一的练习题 选做二、7段数码管译码电路 练习一、简单的组合逻辑设计 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验代码: 模块源代码: module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule 测试模块源代码: `timescale 1ns/1ns `include "./compare.v" module t; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1;

#100 a=1;b=0; #100 a=0;b=0; #100 $stop; end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验波形 练习二、简单分频时序逻辑电路的设计 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验代码: 模块源代码: module halfclk(reset,clkin,clkout); input clkin,reset; output clkout; reg clkout; always@(posedge clkin) begin if(!reset) clkout=0; else clkout=~clkout; end endmodule 测试模块源代码: `timescale 1ns/100ps `define clkcycle 50 module tt; reg clkin,reset; wire clkout;

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

高三数学寒假作业冲刺培训班之历年真题汇编复习实战64272

第五章 平面向量第三节 平面向量的数量积 一、选择题(本大题共12小题,每小题5分,在每小题给出的四个选择中,只有一个是符合题目要求的。) 1.【广西梧州、崇左两市联考高三(上)摸底】设向量,满足|+|=,||=1,||=2,则?等于 ( ) A . B . C . D . 2.【“五个一名校联盟” 高三教学质量监测(一)6】b a ,是两个向量,2,1==b a 且a b a ⊥+)(,则a 与 b 的夹角为( ) A. 30 B. 60 C. 120 D. 150 3. 【重庆高考理第4题】已知向量(,3),(1,4),(2,1)a k b c ===,且(23)a b c -⊥,则实数k =( ) 9 .2A - .0B .C 3 D.152 4.【·长春调研】已知向量a =(1,2),b =(1,0),c =(3,4),若λ为实数,(b +λa)⊥c ,则λ的值为( ) A .-311 B .-113 C.12 D.35 5.【高考辽宁卷文第5题】设,,a b c 是非零向量,已知命题P :若0a b ?=,0b c ?=,则0a c ?=;命题q :若//,//a b b c ,则//a c ,则下列命题中真命题是( ) A .p q ∨ B .p q ∧ C .()()p q ?∧? D .()p q ∨? 6.【·北京东城质量检测】已知平面向量a =(2,4),b =(1,-2),若c =a -(a ·b)b ,则|c|=________. A.2 B.22 C.28 D.216 7. 【黄冈市高三5月适应性考试】非零向量AB 与AC 满足0AB AC BC AB AC ?? ?+?= ??? 且12AB AC AB AC ?=,则⊿ABC 为( ) A.三边均不等的三角形 B.直角三角形

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

verilog hdl 实验报告

Verilog HDL数字系统设计 实验报告汇总 任课教师 实验者姓名 学号 实验指导教师

姓名学号 时间地点 实验题目阻塞赋值与非阻塞赋值的区别 一.实验目的与要求 (1)通过实验,掌握阻塞赋值与非阻塞赋值的概念与区别; (2)了解非阻塞赋值和阻塞赋值的不同使用场合; (3)学习测试模块的编写,综合和不同层次的仿真。 二.实验环境 仿真软件: modlsim6.2SE 三.实验内容 阻塞赋值与非阻塞赋值,在教材中已经了解了他们之间在语法上的区别以及综合后所得到的

电路结构上的区别。在always块中,阻塞赋值可以理解为赋值语句是并发执行的。时序逻辑设计中,通常都使用非阻塞赋值语句,而在实现组合逻辑的assign结构中,或者always快结构中都必须采用阻塞赋值语句。 四.系统框图 五.实验波形图 六.实验体会 (1)一开始使用modelsimSE6.2时候不知道建立工作区的方法。后面请教了毕老师才知道如何来建立工作区。 (2)编译时候错误看不懂,细心找才发现‘ ` 两个符号有区别 (3)波形找不到,后来发现时没有放大。 七.代码附录: 源代码:

// ---------- 模块源代码:---------------------- // ------------- blocking.v --------------- module blocking(clk,a,b,c); output [3:0] b,c; input [3:0] a; input clk; reg [3:0] b,c; always @(posedge clk) begin b = a; c = b; $display("Blocking: a = %d, b = %d, c = %d ",a,b,c); end endmodule //------------- non_blocking.v ------------------- module non_blocking(clk,a,b,c); output [3:0] b,c; input [3:0] a; input clk; reg [3:0] b,c; always @(posedge clk) begin b <= a; c <= b; $display("Non_Blocking: a = %d, b = %d, c = %d ",a,b,c); end endmodule 测试模块: // ---------- 测试模块源代码:-------------------------- //------------- compareTop.v -----------------------------

高三数学暑假作业 数列(2)

一 基础再现 考点28:等差数列 考点29:等比数列 1.在各项都为正数的等比数列{}n a 中,首项13a =,前三项和为21,则345a a a ++= 2.等差数列}{n a 共有21n +项,其中奇数项之和为319,偶数项之和为290,则其中间项为______________. 3.设等比数列}{n a 的公比为q ,前n 项和为S n ,若S n+1,S n ,S n+2成等差数列,则q 的值 为 . 4. 已知等比数列{}n a 的各项都为正数,它的前三项依次为1,1a +,25a +则数列{}n a 的通项公式是n a = . 5.三个数c b a ,,成等比数列,且(0)a b c m m ++=>,则b 的取值范围是 . 6.已知两个等差数列{}n a 和{}n b 的前n 项和分别为A n 和n B ,且 7453n n A n B n += +,7 7 b a = . 7. 在等差数列{}n a 中,若4681012120a a a a a ++++=,则91113 a a -的值为 16 . 8. 对于数列{}n a ,定义数列{}n a ?满足: 1n n n a a a +=?-,(n *∈N ),定义数列2{}n a ?满足: 21n n n a a a +?=?-?,(n *∈N ),若数列2{}n a ?中各项均为1,且2120080a a ==,则 1a =__________. 9.数列{}n a 的前n 项和记为11,1,21(1)n n n S a a S n +==+≥. (Ⅰ)求{}n a 的通项公式; (Ⅱ)等差数列{}n b 的各项为正,其前n 项和为n T ,且315T =,又112233,,a b a b a b +++成等比数列,求n T . 二 感悟解答 1分析:本题主要是考查等比数列的基本概念和性质,可利用方程思想将等比数列问题转化为 1a 和q 处理,也可利用等比数列的定义进行求解.设公比为q ,由题知,12 1113 21 a a a q a q =??++=?得2q =或30q =-<(舍去),∴34584a a a ++= 2解:依题意,中间项为1+n a ,于是有11(1)319 290n n n a na +++=??=? 解得129n a +=. 3分析:本题主要考查等比数列的求和公式,等差数列的概念运用,可直接求得.

相关主题
文本预览
相关文档 最新文档