当前位置:文档之家› 1数字逻辑基础介绍

1数字逻辑基础介绍

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

第一章 数字逻辑基础_数字逻辑与系统

第一章数字逻辑基础 教学基本要求: 掌握常用的数制二进制、十进制、十六进制的相互转换; 掌握二进制数的原码、反码及补码的表示方法; 掌握常用的编码及它们与二进制数间的相互转换; 掌握逻辑代数的基本定律与规则; 掌握逻辑函数的表示方法及各种表示方法之间的相互转换; 掌握代数法和卡诺图法化简逻辑函数。 重点: 常用的数制与编码; 逻辑代数基础; 逻辑命题的描述。 电子电路的信号主要有两类: 一类是在时间上和幅值上都连续的信号称为模拟信号,处理模拟信号的电路称为模拟电路。正弦信号是典型的模拟信号,如图1-1所示。 另一类是时间上和幅值上都离散的信号称为数字信号,处理数字信号的电路称为数字电路。脉冲信号是典型的数字信号,如图1-22所示。 数字电路的特点:

?工作信号是不连续的数字信号,所以电路中的半导体器件工作在开关状态,即稳定于饱和区或截止区,放大区只是其过度状态; ?数字电路既是开关电路又是逻辑电路,主要研究电路输入和输出间的逻辑关系。分析工具和方法与模拟电路完全不同,具有独立的基础理论; ?逻辑代数是分析逻辑电路的数学工具。 学习指导: 在本知识点学习中由最熟悉的十进制数入手,寻找各种计数体制的规律,特别要注意理解权的概念,熟练掌握任意进制数按权展开式。 在数字系统中采用二进制。因为二进制数的基数为2,只有0和1两个数码,其不仅运算简单,电路实现也容易,还可以利用逻辑代数;但表示同一数值的数比十进制需更多的位数,因此数字系统中又常用八进制和十六进制数。十、二、八、十六进制数的后缀分别为D、B、Q、H。对十进制数常可省略下标或后缀。十进制数特点: 1.有一个确定的基数10,且逢10进一; 2.有10个有序的数字符号有0--9和一个小数点,数码K i 从0~9; 3.每一个数位均有固定的含意称权10i,不同数位其权10i不同; 4.任意一个十进位制数均可写成按权展开式: (N) 10 = (K n-1 K n-2 …K 1 K .K -1 …K -m ) 10 = K n-1 10n-1+K n-2 10n-2+…+K 1 101+K 100+K -1 10-1+…+K -m 10-m 例: 二进制特点: ?二进制是以2为基数的计数体制,它仅采用2个数码0和1,并且“逢二进一”,即1+1=10; ?不同数位上的权值不同,其相应的权为2i; ?任意一个二进位制数均可写成按权展开式。

数字电路知识点汇总(精华版)

数字电路知识点汇总(东南大学) 第1章数字逻辑概论 一、进位计数制 1. 十进制与二进制数的转换 2?二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1) 常量与变量的关系A +0 =人与人1 = A A +1 = 1 与 A 0 = 0 A A = 1 与 A A = 0 2 )与普通代数相运算规律 a. 交换律:A + B = B + A A B 二 B A b. 结合律:(A + B) + C = A + (B + C) (A B) C 二A (B C) C.分配律:A (B C) = A B A C

A B C =(A B)()A C)) 3)逻辑函数的特殊规律 a. 同一律:A + A + A b. 摩根定律:A A B , ~AB=~A B b.关于否定的性质人=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:A B 二 C ? A B 二C 可令L= B二C 则上式变成A L A L = A二L=A二B二C 三、逻辑函数的:一一公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑 函数,通常,我们将逻辑函数化简为最简的与一或表达式 1) 合并项法: 利用A + A A -1或A ^A B -A,将二项合并为一项,合并时可消去一个变量 例如:L= ABC ABC -AB(C C) = AB 2) 吸收法 利用公式A A ,消去多余的积项,根据代入规则AB可以是任何一个

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

数字逻辑课程三套作业及答案课案

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A.n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293

(A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器

B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。 A. J=D,K=D非 B. B. K=D,J=D非 C. C.J=K=D D. D.J=K=D非

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数 A C C B B A F+ + = ,试用真值表、卡诺图和逻辑图表示该函数。解:(1 )真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++='ΘD C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的 卡 诺 图

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

1章数字逻辑概论与逻辑代数复习题

数字逻辑概论与逻辑代数 一、选择题: 1、是8421BCD 码的是( ) A. 0101 B. 1010 C. 1100 D. 1111 2、=++++B A A C B A ( ) A. 1 B. A C. A D. A+B+C 3、欲对全班53个学生以二进制代码表示,至少需要二进制码的位数是( ) A. 6 B. 5 C. 10 D. 53 4、在数字电路中,晶体管的工作状态为:( ) A.饱和或截止; B.放大; C.饱和或放大; D. 饱和; 5、以下式子中不正确的是( ) A . B A B A +=+ B . A A A += C . 1A A ?= D . 11A += 6、在数字电路中,稳态时三极管一般工作在( )状态。在图示电路中,若0i u <,则三极管T ( ),此时uo =( ) A .开关,截止,3.7V B .放大,截止,5V C .开关,饱和,0.3V D .开关,截止,5V 7、N 个变量可以构成( )个最小项。 A . 2N B . 2N C 、N D 、 2N -1 8、数字电路中的工作信号为( )。 A . 脉冲信号 B . 随时间连续变化的电信号 C .直流信号 D .模拟信号 9、下列等式不成立的是( ) A. AB+AC+BC=AB+BC B. (A+B)(A+C)=A+BC C. A+AB=A D. 1=+++B A AB B A B A 10、和二进制数(1100110111)2等值的十六进制数是( )。 A. (337)16 B. (637)16 C. (1467)16 D. (C37)16 11、逻辑函数F=A ⊕(A ⊕B)=( ) A.B B.A C.A ⊕B D.A ⊙B 12、下面描述逻辑功能的方法中,具有唯一性的是( ) A. 真值表 B. 逻辑函数表达式 C.波形图 D.逻辑图

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

第1章 数字逻辑基础

第1 章数字逻辑基础 部分习题解答 1.3 将下列十进制数转换成等值的二进制数、八进制数、十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(19)D ;(2)(37.656)D ;(3)(0.3569)D 解: (19)D=(10011)B=(23)O=(13)H (37.656)D=(100101.1010)B=(45.5176)O=(25.A7E)H (0.3569)D=(0.01011)B=(0.266)O=(0.5B)H 1.4 将下列八进制数转换成等值的二进制数。 (1)(137)O ;(2)(36.452)O ;(3)(0.1436)O 解: (137)O=(1 011 111)B (36.452)O=(11110. 10010101)B (0.1436)O=(0.001 100 011 11)B 1.5 将下列十六进制数转换成等值的二进制数。 (1)(1E7.2C)H ;(2)(36A.45D)H ;(3)(0.B4F6)H 解: (1E7.2C)H=(1 1110 0111.0010 11)B (36A.45D)H=(11 0110 1010. 0100 0101 1101)B (0.B4F6)H=(0.1011 0100 1111 011)B 1.6 求下列BCD码代表的十进制数。 (1)(1000011000110101.10010111)8421BCD ; (2)(1011011011000101.10010111)余3 BCD ; (3)(1110110101000011.11011011)2421BCD; (4)(1010101110001011.10010011)5421BCD ; 解: (1000 0110 0011 0101.1001 0111)8421BCD=(8635.97)D (1011 0110 1100 0101.1001 0111)余3 BCD =(839.24)D (1110 1101 0100 0011.1101 1011)2421BCD=(8743.75)D (1010 1011 1000 1011.1001 0011)5421BCD=(7858.63)D 1.7 试完成下列代码转换。 (1)(1110110101000011.11011011)2421BCD = (?)余3 BCD (2)(1010101110001011.10010011)5421BCD= (?)8421BCD

《数字逻辑》课程教学活动大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。

三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑

五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述 1.2数制及其转换 1.3二-十进制代码(BCD代码) 1.4算术运算与逻辑运算 第2章逻辑函数及其简化(支撑课程目标1、2) 重点内容:逻辑代数的各种表达形式,逻辑代数的三个规则和常用公式,逻辑代数的化简方法,卡诺图法。 难点内容:简单逻辑命题建立逻辑函数的方法。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1)二—十进制码( BCD 码) 在这种编码中,用四位二进制数表示十进制数中的 0~9 十个数码。常用的编码有 8421BCD 码、 5421BCD 码和余 3 码。 8421BCD 码是由四位二进制数0000 到 1111 十六种组合中前十种组合,即0000~1001 来代表十进制数0~9 十个数码,每位二进制码具有固定的权值8、 4、 2、1,称有权码。 余 3 码是由 8421BCD 码加 3( 0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而 其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工 具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函 数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数 的简化。二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运 用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画 包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、 求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路 呢?通常的步骤如下:

第1章—数字逻辑概论

1. 数字逻辑概论 1.1 数字电路与数字信号 1.1.2 一数字信号波形如图题1.1.2所示,试问该波形所代表的二进制数是什么? 解:图题1.1.2所示的数字信号波形的左边为最高位(MSB ),右边为最低位(LSB ),低电平表示0,高电平表示1。该波形所代表的二进制数为010110100。 1.2 数 制 1.2.1 一数字波形如图题1.2.1,时钟频率为4 kHz ,试确定:(1)它所表示的二进制数;(2)串行方式传送8位数据所需要的时间;(3)以8位并行方式传送数据时需要的时间。 解:该波形所代表的二进制数为00101100 。 时钟的周期 110.254T ms f kHz === 串行方式传送数据时,每个时钟周期传送1位数据,因此,传送8位数据所需要的时间t=0.25 ms ×8=2 ms 。 8位并行方式传送数据时,每个时钟周期可以将8位数据同时并行传送,因此,需要的时间t=0.25 ms 。 1.2.2 将下列十进制数转换为二进制数、八进制数和十六进制数(要求转换误差不大于2 -4); (1)43 (2)127 (3)254. 25 (4)2. 718 解:此题的解答可分为三部分,即十﹣二、十﹣八和十﹣十六转换。解题过程及结果如下: 1. 十﹣二转换 2. 将十进制整数43转换为二进制数,采用“短除法”,其过程如下:

从高位到低位写出二进制数,可得(43)D =(101011)B。 (2)将十进制数127转换为二进制数,可以采用“短除法”,也可以采用“拆分法”。 采用“短除法”,将127逐次除2,所得余数即为二进制数,(127)D =27 -1=(10000000)B -1=(1111111)B 。 (3)将十进制数254.25转换为二进制数,由两部分组成:整数部分(254)D =(11111110)B ,小数部分(0.25)D =(0.01)B 。 对于小数部分的十﹣二进制转换,采用“连乘法”,演算过程如下: 将整数部分和小数部分的结果相加得(254.25)D=(11111110.01)B。为了检查转换结果的误差,可将转换结果返回到十进制数,即27+26+25+24+23+22+21+2-2=254.25,可见没有转换误差。 (4)将十进制数2.718转换为二进制数,由两部分组成:整数部分(2)D=(10)B;

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

数字逻辑课程建设

“数字逻辑”精品课程建设的探索与实践 惠丽吴玲 文章编号:1672-5913(2009)02-110-02 摘要:本文结合“数字逻辑”课程的教学,对“数字逻辑”课程教学过程中的教学方法、教学手段等方面进行了有益的探索和改革,强调了在教学过程中应该注重教学的趣味性、知识连贯性以及创新能力培养等方面的内容。 关键词:数字逻辑;教学方法;教学改革 中图分类号:G642 文献标识码:B 1精品课程建设的立足点 “数字逻辑”是计算机科学与技术专业重要的专业基础课,我院将“数字逻辑”作为重点建设课程。经过多年的努力建设,于2007年被评为黑龙江大学校级精品课,2008年被评为黑龙江省级精品课。 精品课程建设是一项系统的工程,涉及师资队伍、教学内容、教学方法与手段、教学资源、教学管理等方方面面。要建设一门精品课程,先要建设具有一流学术水平、丰富教学经验、深厚的教育理论功底、扎实的教学技能、严谨的治学精神的可持续发展的教学团队,认真提炼课程中的基础性内容,将学术前沿的知识引入课堂,精心组织课堂教学,合理规划实验教学,解决教学过程中出现的各种问题。 回顾我们精品课程建设的历程,成绩的取得主要是因为我们在课程建设方面坚持了广泛深入地教学改革,本文将从以下几个方面来介绍我们在“数字逻辑”建设中的探索实践和体会。 2教学方法的改革 在多年的教学实践中我们发现,学生普遍认为“数字逻辑”课程比较抽象、难以理解。面对这样一门课程如何进行教学,我们进行了长期的研究与探索,并取得了一定的成绩。 2.1课堂教学改革 教育是一门艺术,一堂精品课像一场晚会,教师是主持人,学生则是观众。教师组织课堂应该像主持人主持晚会一样,不断提出问题让学生思考,应用多种教学手段,并且衔接自然,改变原来枯燥的课堂气氛,学生反映很好。 ①互动式教学 在课堂教学中,采用相互协调、相互作用、相互推动的教学模式,可以使学生增强学习动力,调动学生的学习积极性,从而提高课堂的教学效果。本课程组在课堂实际教学过程中实行

相关主题
文本预览
相关文档 最新文档