当前位置:文档之家› 第1,2 数字逻辑基础,逻辑门电路习题答案

第1,2 数字逻辑基础,逻辑门电路习题答案

第1,2   数字逻辑基础,逻辑门电路习题答案
第1,2   数字逻辑基础,逻辑门电路习题答案

第1 章数字逻辑基础

1.3 将下列十进制数转换成等值的二进制数、八进制数、十六进制数。要求二进制数保留小数点后4位有效数字。

(1)(19)D ;(2)(37.656)D ;(3)(0.3569)D

解:

(19)D=(10011)B=(23)O=(13)H

(37.656)D=(100101.1010)B=(45.5176)O=(25.A7E)H

(0.3569)D=(0.01011)B=(0.266)O=(0.5B)H

1.4 将下列八进制数转换成等值的二进制数。

(1)(137)O ;(2)(36.452)O ;(3)(0.1436)O

解:

(137)O=(1 011 111)B

(36.452)O=(11110. 10010101)B

(0.1436)O=(0.001 100 011 11)B

1.5 将下列十六进制数转换成等值的二进制数。

(1)(1E7.2C)H ;(2)(36A.45D)H ;(3)(0.B4F6)H

解:

(1E7.2C)H=(1 1110 0111.0010 11)B

(36A.45D)H=(11 0110 1010. 0100 0101 1101)B

(0.B4F6)H=(0.1011 0100 1111 011)B

1.6 求下列BCD码代表的十进制数。

(1)(1000011000110101.10010111)8421BCD ;

(2)(1011011011000101.10010111)余3 BCD ;

(3)(1110110101000011.11011011)2421BCD;

(4)(1010101110001011.10010011)5421BCD ;

解:

(1000 0110 0011 0101.1001 0111)8421BCD=(8635.97)D

(1011 0110 1100 0101.1001 0111)余3 BCD =(839.24)D

(1110 1101 0100 0011.1101 1011)2421BCD=(8743.75)D

(1010 1011 1000 1011.1001 0011)5421BCD=(7858.63)D

1.7 试完成下列代码转换。

(1)(1110110101000011.11011011)2421BCD = (?)余3 BCD

(2)(1010101110001011.10010011)5421BCD= (?)8421BCD

解:

(1110 1101 0100 0011.1101 1011)2421BCD = (1011 1010 0111 0110.1010 1000 )余3 BCD

(1010 1011 1000 1011.1001 0011)5421BCD = ( 0111 1000 0101 1000.0110 0011 )8421BCD

1.8 试分别确定下列各组二进制码的奇偶校验位(包括奇校验和偶校验两种形式)。 (1) 10101101; (2) 10010100 ; (3) 11111101 解:

1.9 试用列真值表的方法证明下列逻辑函数等式。 (1) 0A A ⊕= (2) 1A A ⊕= (3) 0A A ⊕= (4) 1A A ⊕=

(5) AB AB AB A B +=+? (6) 1A B A B A B ⊕=⊕=⊕⊕ (7) ()A B C AB AC ⊕=⊕

解:列真值表证明如下:

1.10 写出下列逻辑函数的对偶式及反函数式。 (1) L AB AB =+

(2) ()L AB C AB =+

(3) ()L A B A B C =+++ (4) L AB AD AD BC =+++ (5) ()L AC CD AB BC B AD CE =+++++

解:

1.11 用逻辑代数的基本定理和基本公式将下列逻辑函数化简为最简与或表达式。 (1) L AB AB A =++ (2) L ABC A B =++ (3) ()L AB ABC AB =+ (4) ()L AB A CD AD BC =++ (5) ()()L AC CD AB BC B AD CE =++++ (6) ()L AC BC B AC AC =+++ (7) ()()()L A C B A B C A B C =++++++

解:

(1)B A B A B A A B A B A L +=++=++=)1( (2)C B A B A AC B A C B A L ++=++=

++=

(3)BC B A C A B B A B A ABC B A L +=++=+=)()()(

(4)B A C B D A B A C B D A B A C B D A CD A B A L =++==++=))(()( (5)E ABCD CE AD B BC CE AD B BC B A D C AC L =+=++++=))()(

(6)C B AC C A AC B C B AC C A C A B C B AC C A C A B C B AC +=+++=++=+++))(()()()(

(7)C B A C A C B A C B A C B A B C A L +=++=++++++=)())(()(

1.12 逻辑函数表达式为 D C B A L = ,使用2输入的与非门和反相器实现该式的逻辑功能,画出其相应的逻辑电路。

解:表达式可变换为:D C B A D C B A L == 作图如下

A B C D

L

1.13 设三变量A 、B 、C ,当变量组合值中出现偶数个1时,输出L 为1,否则为0。列出此逻辑关系的真值表,并写出逻辑表达式。

解:依据题意,列其真值表如下:

由真值表写出逻辑表达式为:C AB C B A BC A C B A L +++= 1.14 用逻辑代数的基本定理证明下列逻辑等式。 (1) AB AB AB A B ++=+ (2) ()()()A B B C A C AC AB BC +++=++ (3) ()AB C B ABC ABC ABC +=++ (4) 1

A C A

B B

C A C ++++=

证明:(1) B A B A A B A B A AB B A B A +=+=++=++)1(

(2)BC AB AC C A B AC C A C B B A ++=++=+++))(())(()( (3)BC AB +原式左边= BC AB BC A A C C AB +=+++)()(原式右边=

左边=右边

4)

11)1(=++=+++=++++BC A BC C C A C BC B A C A 原式左边=

左边=右边

1.15 已知逻辑函数的真值表如表1.18所示,写出对应的逻辑函数式,并画出波形图。

表1.18

解:

由真值表写出逻辑表达式为:C B A C B A C B A L ++=,画出波形图如下图所示:

B C A L

1.16 试用卡诺图化简下列逻辑函数。 (1) L ABC AB BC =++

(2) L ABCD A CD ABD ABC ACD BC =+++++ (3) L ABC AB A CD BCD AB BC =+++++ (4) (,,)(0,1,3,4,6,7)L A B C m =∑

(5) (,,,)(1,3,4,5,6,9,10,12,14,15)L A B C D m =∑ (6) (,,,)(0,2,3,5,7,8,10,11,13,15)L A B C D m =∑

(7) (,,,)(1,2,5,6,10,12,15)(3,7,8,13)L A B C D m d =∑+∑ (8) (,,,)(3,5,6,7,10,)(0,1,2,4,8,14)L A B C D m d =∑+∑ (9) L A BC ABC A BCD =?++? 约束条件:0AB AB += (10) ()L CD A B ABC A CD =⊕++? 约束条件:0AB CD +=

(11) ()()()L AB B C D A B B C =++++ 约束条件:0ABC ABD ACD BCD +++=

解:

AB

AB

L=AC+

BD

B

A

CD

BD

AC

L+

+

+

=

(2)

BC

BCD

D

C

B

C

B

B

A

L+

+

=

(4)

C

A

B

A

BC

AB

C

B

C

A

L

+

+

=

+

+

=

D

B

B

A

D

B

C

B

A

D

B

ABC

L+

+

+

=D

B

BD

CD

L+

+

=

D

A

D

A

(7)

D

A

BC

D

C

D

A

L+

+

+

=

D

C

A

A

D

B

L+

=

+

=

C

C

L=

(10)

D

A

B

AC

L+

+

=

(11)

A

B

C

L+

+

=

1.17 试用卡诺图化简下列逻辑函数。

(1)

??

?

?

?

+

=

+

+

=

BC

A

L

BC

C

A

B

A

L

2

1

(2)1

2

(,,)(1,2,3,4,5,7)

(,,)(0,1,3,5,6,7)

L A B C m

L A B C m

=∑

=∑

?

?

?

(3) 12

(,,,)(1,2,3,5,7,8,9,12,14)

(,,,)(0,1,3,8,12,14)L A B C D m L A B C D m =∑=∑???

解:(1)

(2)

C

B A L +=1BC

A L +=2

C

B A B A

C B A L +⊕=++=1C

B A AB

C B A L +⊕=++=2

(3)

C

B A D AB

C B A

D A L +++=1D

C B

D AB D B A L ++=2

第2 章 逻辑门电路

2.2 为什么说TTL 与非门输入端在以下三种接法时,在逻辑上都属于输入为0?(1)输入端接

2.3 为什么说TTL 与非门输入端在以下三种接法时,在逻辑上都属于输入为1?(1)输入端悬空;(2)输入端接高于2V 的电源;(3)输入端接同类与非门的输出高电平

3.6V 。

解:回答上述问题也可以有3种途径,即结合具体电路在所给条件下分析其输入输出关系、利用电压传输特性或者利用与非门的主要参数进行分析。

(1)输入端悬空:输入端悬空可以看作是输入端所接电阻R 无穷大,由输入负载特性得输入端电压1.4V ,此时U B1=2.1V ,T 2、T 3饱和导通,由与非门的逻辑功能知只有输入全部为高电平时,T 2、T 3饱和导通,所以输入为1。

(2)由TTL 与非门的电压传输特性可见:当输入端接高于2V 的电源或者接同类与非门的输出高电压3.6 V 时,输出低电平即逻辑0,此时输入一定是逻辑1。

(3)以图2.7所示与非门电路为例,输入端通过10kΩ电阻接地时,U R =3.1V 。由TTL 与非门的主要技术参数可知:U IH (min )=2V ,可见U R > U IH (min ),所以输入为高电平,即逻辑1。

2.4 指出图2.43中各门电路的输出是什么状态(高电平、低电平或高阻态)。假定它们都是T1000系列的TTL 门电路。

+U 51+U CC U IL U IL

U IL

U U +U (a )

(c )

(b )

(d )(e )

(f )

10k

图2.43 题2.4电路图

解:

在图a 中,三个输入端都相当于高电平,即逻辑1,由与非门的功能可知,其输出为低电平。

在图b 中,输入端接10k Ω电阻相当于高电平,即逻辑1,由或门的功能可知,其输出为高电平。

在图c 中,输入端接51Ω电阻相当于低电平,即逻辑0,由与非门的功能可知,其输出为高电平。

在图d 中,输入端接10k Ω电阻相当于高电平,即逻辑1,由或非门的功能可知,其输出为低电平。

在图e 中,EN=0,三态门电路处于禁止工作状态,其输出为高阻态。

在图f 中,2个输入端分别为高电平和低电平,由异或门的功能可知,其输出为高电平。

【参考借鉴】数字逻辑电路习题集.doc

第一章数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数R= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数R= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

课程设计-数字逻辑课程设计

数字电路课程设计(学年设计、学年论文)任务书 学院计算机与信息工程学院专业网络工程 课程名称数字电路题目四路彩灯显示电路系统 的设计 完成期限自2013年12月1日至2014年1月5日共5周 内容及任务一、项目的目的 (1)加深对电子线路的基本知识的了解和对电路的构建; (2)通过对问题的分析,选取相关的原件,系统设计,把实际问题转化为仿真电路问题; (3)提高团队的合作能力和动手能力; 二、项目任务的主要内容和要求 (1)设计四路彩灯显示电路,接通电源后,彩灯可以自动按预先设置闪烁; (2)设置的彩灯花型由三个节拍组成 第一节拍:从左向右逐次渐亮,灯亮时间1S共用4S. 第二节拍:四路彩灯从右向左逐次渐灭,也需4S。 第三节拍:四路彩灯同时亮0.5秒,然后同时变暗,进行四次,所需时间也是四秒。 (3)三个节拍完成一个循环,一共需要12S。一次循环之后重复进行闪烁。 三、项目设计(研究)思路 系统主要包括脉冲源、分频器、节拍控制器等,脉冲源采用秒脉冲发生器,用以提供频率为1Hz的时钟信号;分频器将1Hz的时钟信号四分频,用以产生0.25Hz(即4S)的时钟信号;节拍控制器产生三个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移和送数功能,可以使用双向通用移位寄存器74LS194完成;显示电路完成系统循环演示的指示,可以用发光二极管模拟。 四、具体成果形式和要求 具体成果:四路彩灯显示电路系统和设计文档;

其要求:设计思路清晰,给出整体设计框图;设计个单元电路,给出具体设计思路、电路器件。 进度安排 起止日期工作内容 12.1-12.10 选题,组员分工,明确任务,查找相关资料。 12.11-12.20 绘制电路原理图。 12.21-12.30 运行、调试。 12.31-1.3 编写课程设计报告、答辩。 主要参考资料[1]康华光主编.电子技术基础(数字部分)第五版.高等教育出版社。 [2]康华光主编.电子技术基础(模拟部分)第五版.高等教育出版社。 [3]蔡惟铮《数字电子线路基础》[M]哈尔滨,哈尔滨工业大学出版社。 [4]吕思忠《数字电路实验与课程设计》哈尔滨工程大学出版社。 [5]谢自美《电子线路设计》华中理工大学出版社。 指导教师 意见 (签字):×年×月×日 系(教研室) 主任意见 (签字):×年×月×日

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字逻辑课程设计报告(猜数字游戏)

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:猜数字游戏的设计 院部:计算机与信息工程 专业:网络工程 组别:第五组 起止日期: 2012年5月28日~2012年6月15日 指导教师:张永定 计算机与信息工程学院二○一二年 、

课程设计任务书 课程设计题目猜数字游戏的设计 组长严盼盼学号2011211329 班级网工112班院部计算机与信息工程专业网络工程 组员武倩闫静周晶晶夏艾 指导教师张永定 课程设计目的1.设计一个具有用保存用来进行比较的数据,输入新的数据通过比较器与保存的数据进行比较,用计数器进行计数统计功能的电路。 2.通过此次实验加深对所学数电知识的运用。 3.锻炼Multisim 10的仿真能力和硬件调试能力。 课程设计所需环境硬件环境:微型计算机 软件环境:Windows XP Multisim 10 课程设计任务要求1.猜数字游戏由若干个按键、若干个发光二极管。 2.输入为六位二进制数,与正确值进行比较,判断得出结果。 3.如果出现连续5次猜错,则红灯亮,表示游戏结束。 4.游戏开始时,可以对存储值进行设置。 课程设计工作进度计划 序号起止日期工作内容分工情况 1 5.28~6. 2 课程内容介绍和查找资 料严盼盼:介绍课程内容 武倩、闫静、周晶晶、夏艾:查找资料 2 6.3~6.6 设计总体思路,基本原 理和框图 严盼盼:分析数字电路所需的芯片 3 6.7~6.10 单元电路设计严盼盼:对电路进行总设计 武倩、闫静、周晶晶:负责了解各芯片功能 夏艾:负责连接线路 4 6.11~6.12 搭建仿真电路并进行测 试,调试电路严盼盼、周晶晶:搭建电路 武倩、闫静、夏艾:故障分析并进行改进 5 6.13~6.15 整理文档与总结武倩:负责整理文档 指导教师签字:年月日 系(教研室)审核意见: 系(教研室)主任签字:年月日

实验一 原理图输入方式设计数字逻辑电路

实验一原理图输入方式设计数字逻辑电路 一、实验目的: 1、了解基本组合逻辑电路的原理及利用Quartus II 软件进行设计的一般方法。 2、熟悉Quartus II 原理图输入法的设计流程,掌握编辑、编译和仿真的方法。 3、掌握原理图的层次化设计方法。 4、了解Quartus II 软件的编程下载及引脚锁定的方法。 5、了解Quartus II宏功能模块的使用方法。 二、实验的硬件要求: 1、EDA/SOPC实验箱。 2、计算机。 三、实验原理 见附件《Quartus设计的一般步骤》、《元件例化和调用的操作步骤》、《QuartusII基于宏功能模块的设计》 四、实验内容: 1、用原理图方式设计1位二进制半加器半加器。 新建一个工程“HalfAdder”,选择芯片“Cyclone III EP3C16Q240C8”,建立原理图如图1-1,保存为“HalfAdder.BDF”。 图1-1 半加器电路图 编译工程。 建立波形文件,对半加器电路分别进行时序仿真和功能仿真,其波形如下: 图1-2半加器时序仿真波形,注意观察输出延时,以及毛刺的产生原因 图1-3半加器功能仿真波形 2、原理图层次化设计。 新建一工程,取名“FullAdder”;将上面设计的半加器“HalfAdder.BDF”复制到当前工程目录,并生成“符号元件”HalfAdder.BSF。 建立一个原理图文件,取名“FullAdder.BDF”,利用“符号元件”HalfAdder.BSF及其它元件设计全加器电路如下图:

用功能仿真测试全加器的逻辑功能。 图1-5 全加器功能仿真波形 图1-6是输入输出信号与FPGA连接示意图,图中用到了“拨档开关”作为输入,“LED 显示模块”显示输出值。表1-1是本实验连接的FPGA管脚编号。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班 学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。 通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下 1同步十进制计数器74LS162 3输入正与非门74LS00

数字逻辑课程设计

数字逻辑课程设计 一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。

三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器 2.乒乓球游戏机 3.智力竞赛抢答器 4.数字钟 5.交通灯控制器 四、设计报告的内容和要求 报告的内容包括以下几个方面: 1、课程设计题目:自选题目名称 2、设计任务及主要性能指标和要求 3、电路的设计 (1)根据功能要求构建总体设计方案,比较和选定设计的系统方案,确定整个电路的组成及各单元电路完成的功能,画出系统框图。 (2)单元电路的设计、参数计算、器件选择及介绍。 (3)画出完整的总体电路设计图(器件型号、元件参数应标出)和必要的波形图,并说明电路的工作原理。 4、整理测试数据,分析是否满足设计要求。 5、在安装调试中的方法技巧,遇到的问题、原因及解决方法。 6、元器件清单。 7、总结设计电路的特点和方案的优缺点。 8、设计收获体会 9、参考文献。 设计实验部分根据实际完成的实验内容,按照上面自选题目包括的各部分内容进行编写。 报告要求根据课程设计报告的内容要求认真书写,所有的内容一律用课程设计报告书手写,总页数不得少于15页。

第二章逻辑门电路2

电路中D 3、D 4的作用是提高开关速度,当U o 由1跳到0时,经D 3、D 4提供放电回路,加速U o 的下降速度。R 4电阻由接地改为接在U o 上的目的是降低静态功耗,R 1电阻取值改为20k Ω也是为了降低电路的功耗。该电路的电阻值比TTL 门电路相应的电阻值大,主要目的是降低电路的功耗。实现的是与非的逻辑功能。 电路中二极管采用肖特基二极管,其正向导通压降为,而肖特基三极管的发射极的正偏电压为,集电极的正偏电压为。因此,电路的阈值电压将变为: D BE5BE2T U U U U -+==+输出的高低电平值:U OH = U OL =。 输入端的短路电流I IL = 0.23mA 20 0.4 5=- 习题 习题图TTL 与非门电路所示的电路中,若在某一输入端与地之间接一电阻R ,其余输入端悬空,试问: ⑴保证与非门可靠关闭时的最大电阻即关门电阻R OFF 为多大值 ⑵保证与非门可靠开通时的最小电阻即开门电阻R ON 为多大值 解:若在输入端A 与地之间接一电阻R i ,则R i 与地之间的电压U i 为: (1)i i i R R R U U U ?+-= 1be1 cc ≤OFF U 即 i R ?+-R 30.7 5≤ R i ≤? R OFF ?700? (2) i i i R R R U U U ?+-= 1be1 cc ≥on U 即 i R ?+-R 30.7 5≥ 由此可得: R i ≥? , 一般选R ON =2k? 1.4V T 1be1 cc ==?+-U R R R U U i i 工程计算: 得 R ON =R OFF ?? 习题 习题图所示电路由TTL 与非门组成。设G 1~G 4门的平均传输延迟时间相同为30ns ,现测得输出端F 的振荡频率为,试求G 5的平均传输延迟时间t pd5。 解:根据F 的频率求出F 的振荡周期,T =,由于五个与非门输出为原信号的非,所以延迟时间应为T /2≈156ns ,则第五个与非门的延迟时间为36ns 。 习题图 F

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

《数字逻辑》课程设计大纲及题目2012

《数字逻辑》课程设计大纲及题目 2012-05-20 一、本课程设计的性质、任务与目的 《数字逻辑》课程设计是配合本课程课堂和实验教学的一个实践性教学环节。其目的是巩固所学知识,提高实验动手能力,加强综合应用能力,启发创新思维。其任务是让学生通过动手动脑进行大中型数字逻辑电路的设计、仿真、调试,巩固和应用所学的理论和实验技能;掌握应用EDA开发工具设计大中型数字电路系统的设计流程、仿真、检测技术直至下载到FPGA物理器件进行实际物理测试的能力;提高设计能力和实验技能,为以后进行毕业设计、电子电路的综合设计、研制电子产品等打下基础。 二、本课程设计的要求 1、本课程设计是在学完本课程之后进行,时间为一周; 2、设计任务包括数字电路的大部分内容的实验课题,具有较大的综合性、难度和一定的趣味性; 3、设计内容包括必做部分和选做部分,在完成必做部分后,才能进行选做内容; 4、要求学生初步掌握EDA软件工具的使用方法,能采用从上到下的设计思路进行大中型数字电路系统的设计工作; 5、要求学生自己动手动脑独立设计和调试,并写出预设计报告; 6、要求学生应完成基本设计要求,并进行仿真和调试; 7、在完成必做和选做内容后,写出课程设计报告。 三、考核方法 1、必做内容占总成绩的70%,根据独立完成情况和完成的任务质量评分,选做部分可适当加分; 2、设计报告占总成绩的30%,按完成报告的质量评分; 3、有下列情况没有成绩: 1)缺席; 2)有抄袭行为或有意提供给他人抄袭; 3)未按时完成设计报告。 四、参考书目:教材 以下课题可任选其一 课程设计题目一:算术逻辑单元设计 利用EDA工具设计算术逻辑单元(ALU)。该电路能进行2个算术运算和2个逻辑运算和4个移位运算,且由一个3位的输入来选择操作。 课程设计题目二:数字电子钟逻辑电路设计 任务: 利用EDA工具,用文本法或图形法设计一个能显示时、分、秒的数字电子钟。要求: 1、输入脉冲信号为8Hz,辅助脉冲信号为2KHz,由开发箱提供; 2、时为00~23二十四进制计数器,分、秒为00~59六十进制计数器; 3、能够显示出时、分、秒;

数字逻辑电路设计经验

FPGA/CPLD数字逻辑电路设计经验 2007-01-20 15:18 摘要:在数字电路的设计中,时序设计是一个系统性能的主要标志,在高层次设计方法中,对时序控制的抽象度也相应提高,因此在设计中较难把握,但在理解RTL电路时序模型的基础上,采用合理的设计方法在设计复杂数字系统是行之有效的,通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高,并且系统的工作频率可以达到一个较高水平。 关键词:FPGA 数字电路 时序 时延路径 建立时间 保持时间 1 数字电路设计中的几个基本概念: 1.1 建立时间和保持时间: 建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间, 如果保持时间不够,数据同样不能被打入触发器。 如图1 。 数据稳定传输必须满足建立和保持时间的要求,当然在一些情况下,建立时间和保持时间的值可以为 零。 PLD/FPGA开发软件可以自动计算两个相关输入的建立和保持时间(如图2) 图1 建立时间和保持时间关系图 注:在考虑建立保持时间时,应该考虑时钟树向后偏斜的情况,在考虑建立时间时应该考虑时钟树向前偏斜的情况。在进行后仿真时,最大延迟用来检查建立时间,最小延时用来检查保持时间。 建立时间的约束和时钟周期有关,当系统在高频时钟下无法工作时,降低时钟频率就可以使系统完成工作。保持时间是一个和时钟周期无关的参数,如果设计不合理,使得布局布线工具无法布出高质量的时钟树,那么无论如何调整时钟频率也无法达到要求,只有对所设计系统作较大改动才有可能正常工作,导致设计效率大大降低。因此合理的设计系统的时序是提高设计质量的关键。在可编程器件中,时钟树的偏斜几乎可以不考虑,因此保持时间通常都是满足的。 1.2 FPGA中的竞争和冒险现象 信号在FPGA器件内部通过连线和逻辑单元时,都有一定的延时。延时的大小与连线的长短和逻辑单元的数目有关,同时还受器件的制造工艺、工作电压、温度等条件的影响。信号的高低电平转换也需要一定的过渡时间。由于存在这两方面因素,多路信号的电平值发生变化时,在信号变化的瞬间,组合逻辑的输出有先后顺序,并不是同时变化,往往会出

《数字逻辑电路》试题及答案

、填空题( 1-5小题每空 1分, 6-10 小题每空 2分,共20分) 1.(16.25) 10 = ( _____________________ ) 2 = ( ) 8 = ( ) 16 2.三态门输出的三种状态分别为:、和。 3.基本 RS 触发器的约束条件是______________ 。 4.多谐振荡器是一种波形_______ 电路,它没有稳态,只有两个_______ 。 5.把 JK 触发器改成 T 触发器的方法是____________ 。 6.F(A,B,C,D) A (B C (D E) ) 的对偶式为________________________________ 7.十进制数( -12 )的补码形式为_____________________ 。 8.某信号采集系统要求一片 A/D 转换器集成芯片在 1S内对 16 个热电偶的输出电压分时进行 A/D 转换。已知热电偶输出电压范围为 0~0.025V(对应 0~450 o C温度范围),需要分辨的温度为 0.1 o C,试问选用位的 A/D 转换器。 9.RAM 存储器地址线 4 条,数据线 8 条,其存储容量为_______ 。 10.写出下图有 ROM 构成的组合逻辑函数式 Y2= ____________________ 。 二、逻辑函数化简证明题(共 3题,共 20 分) 1.(6 分)用公式法化简下面逻辑函数为最简与或式F(A,B,C) (ABC) (AB ) 2.(6 分)证明下面逻辑恒等式,方法不限。(A C )(B D)(B D ) AB BC 3.(8 分)用卡诺图法求下面逻辑函数的反函数,用最简与或式表示。F(A,B,C,D) ABC ABD C D ABC ACD ACD

数电课程设计题目汇总

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

数字逻辑电路练习题

数字逻辑电路练习题 一、单选 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( B ) 。 A .F(A,B,C)=∑m (0,2,4) B. F(A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8 4.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2 B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 5. 随机存取存储器具有( A )功能。 A.读/写 B.无读/写 C.只读 D.只写 6.N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。 A.N B.2N C.N 2 D.2 N 7.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为( C )。 A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ D. Q n+1 = B 8.函数F=AB+B C ,使F=1的输入ABC 组合为( D ) A .ABC=000 B .ABC=010 C .ABC=101 D .ABC=110 9.已知某电路的真值表如下,该电路的逻辑表达式为( C )。 A .Y D .C C B Y += 10. 只读存取存储器具有( C )功能。

数字逻辑课程设计(篮球计分计时器)

课程设计 课程名称数字逻辑 题目名称篮球比赛计分器学生学院自动化学院 专业班级网络工程 4班 学号 学生姓名 指导老师 2012 年06月16日

课程设计任务书 题目名称篮球比赛计分器 学生学院自动化学院 专业班级网络工程4班 姓名 学号 一、课程设计的内容 设计一个篮球比赛计分器。 二、课程设计的要求与数据 设计要求包括: 1. 按照篮球比赛规则,需要两个计分器实现双方比分的显示及比赛时间的显示(倒计时), 时间精确到秒,设每节比赛的初始设置时间为12分00秒,双方初始比分显示为00; 2. 双方分别用2个按键表示加分操作,按一次按键加1分; 3. 计分器应有启动、教练暂停、犯规罚分和复位开关。复位开关有效时,双方比分自动 清零显示数字“00”;启动开关有效时,比赛开始计时,允许记分。教练暂停按钮有效时,停止计时,不允许双方改变比分,释放暂停开关后,继续计时比赛。犯规罚分开关有效时,停止计时,允许双方改变比分,释放该开关后,继续计时比赛。 4. 设计一个24秒违例定时器,当24秒到进攻一方仍旧没有投球时,用一个红色指示灯 亮表示时间到,指示灯持续点亮5秒。 5. 设置两个指示灯表示比赛的节次。用001,010、011、100分别表示第1、2、3、4节。 启动开关有效时,表示每节比赛开始,要求显示该节比赛的节次;第1次启动开关有效时,显示第1节比赛节次001。当每节比赛时间到,用一个绿色指示灯亮表示,指示灯持续点亮5秒,不得改变比分。 注:鉴于DE2板数码管数量有限,这里假设双方比分不超过99分。比赛的节次用指示灯表示。 三、功能描述 根据设计要求,可分为下面几部分构造出该电路基本的结构框图。 1、计分器部分的结构框图如下所示,用一个按键表示方波脉冲发生器,按键按下一次发出 一个触发脉冲,计数器1的输出加1,当计数器1输出值为9的时候,这时按下键计数

数字逻辑电路设计-多功能数字钟

数字逻辑电路设计-多功能数字钟

多功能数字钟 摘要:实验作品名为多功能数字钟,具有校时、清零、保持、整点报时、闹钟五大功能。整个实验以QuartusII 7.0为软件设计基础,结合Altera公司研发的Cyclone系列可编程逻辑器件工具箱进行实际测试。整个数字钟的开发完全遵照自顶向下的设计方法,这个设计因为该方法可移植性强、逻辑符合一般规律、可多人共做等优点而得以为设计人员省去大量时间和精力。 本作品在防抖动电路和蜂鸣器鸣响时长控制上拥有一定的自主创新性和理论证明,同时由于整个设计过程当中适当地对每个器件进行了有机的封装,所以电路图的逻辑关系较为清晰。现在数字钟因其在日常生活生产中的作用而成为可盈利的商品,在金钱的驱动下数字钟的设计方法与本实验作品相比功能和效率上都有非常大的提升,故本实验的目的在于让设计者充分了解数字逻辑电路设计的流程和具体软件的使用方法。 关键词:数字钟,可编程逻辑器件,防抖动电路,学习型设计 The design of Multifunctional digital clock Abstract: This experimental product is called Multifunctional digital clock. It has five major functions such as time setting, resetting, holding, alarming, and beeping when it comes to an addition to the hour. The whole experiment is based on the software of design called Quartus II 7.0 and is tested by combining the Cyclone series of programmable logical device provided by Altera. The clock is designed under the process of ‘from the top to the end’. The method spares designers lots of time and energy for its flexibility to be transplanted, easiness for ordinary logic reasoning and availability for cooperative designing. The product is self-creative and provable in terms of turbulence muting and manipulation of the period of beeping. At the same time, the diagrams of the circuits are apparently logical thanks to well-organized sealing of each part of device during the design. In this era of common concept of inexpensiveness of digital clocks, methods and effectiveness of designing a clock are improved due to its profitability. Hence, this experimental design is aimed at letting the participants to understand the process of digital logic circuits designing and to get to familiar with the usage of particular software. Keyword: Digital Clock, programmable logic device, mute circuit, design for learning

相关主题
文本预览
相关文档 最新文档