当前位置:文档之家› ASK调制与解调电路设计方案

ASK调制与解调电路设计方案

封面

作者:PanHongliang

仅供个人学习

《电力系统自动化》课程设计任务书

目录

一.背景描述…………………………二.设计内容…………………………三.工作原理…………………………四.电路设计及参数设置……………五.仿真及波形分析…………………六.设计总结…………………………七.参考文献…………………………

一.背景描述:

电力系统远动技术是为电力系统调度服务的远距离监测、控制技术。由于电能生产的特点,能源中心和负荷中心一般相距甚远,电力系统分布在很广的地域,其中发电厂、变电所、电力调度中心和用户之间的距离近则几十公里,远则几百公里甚至数千公里。要管理和监控分布甚广的众多厂、所、站和设备、元器件的运行工况,已不能用通常的机械联系或电联系来传递控制信息或反馈的数据,必须借助于一种技术手段,这就是远动技术。它将各个厂、所、站的运行工况(包括开关状态、设备的运行参数等)转换成便于传输的信号形式,加上保护措施以防止传输过程中的外界干扰,经过调制后,由专门的信息通道传送到调度所。在调度所的中心站经过反调制,还原为原来对应于厂、所、站工况的一些信号再显示出来,供给调度人员监控之用。调度人员的一些控制命令也可以通过类似过程传送到远方厂、所、站,驱动被控对象。这一过程实际上涉及遥测、遥信、遥调、遥控,所以,远动技术是四遥的结合。

二.设计内容:

1.对电力系统远动信息传输系统的主要环节进行理论分析和研

究。

2. 熟悉数字调幅技术的有关原理和实现方法。

3. 设计ASK调制解调电路。

4. 熟悉ORCAD软件的应用,学习元件库使用、原理图的建立以

及应用原理图进行仿真的基本方法。

三. 工作原理:

1. 数字调幅技术的原理和实现方法

(1)数字调制的概念

用二进制(多进制)数字信号作为调制信号,去控制载波某些参量的变化,这种把基带数字信号变换成频带数字信号的过程称为数字调制,反之,称为数字解调。

(2)数字调制的分类

在二进制时分为:振幅键控(ASK)、频移键控(FSK)、相移键控(PSK)。其中,ASK 属于线性调制,FSK、PSK 属于非线性调制。

(3)数字调制系统的基本结构

(4)ASK调制波形与方框图:

2.二进制幅移键控(ASK)

(1)ASK 信号的产生

图为 ASK 信号的产生原理

一个二进制的ASK 信号可视为一个单极性脉冲序列与一个高频载波的乘积,即ASK 的时域表达式为:

也可写成:

(2)ASK 信号的功率谱特性

ASK 信号的自相关函数为:

(3)ASK 信号的功率谱密度为:

式中, p s ( f )为基带信号S(t)的功率谱密度

当0、1 等概出现时,单极性基带信号功率谱密度为:

则2ASK 信号的功率谱密度为:

ASK 信号谱,形状为p s ( f ),双边带加载频谱线p E( f )

ASK 信号传输带宽(取主瓣宽度)

带宽利用率

(4)ASK 信号的解调方式

解调也可以分成相干解调与非相干解调两类。其中相干解调要求接收端提供相干载波。非相干解调,就是在接收端不需要相干载波,而根据已调信号本身的特点来解调

a.非相干解调的原理框图和波形图(包络检波法)

b.相干解调的原理框图和波形图(同步检测法)

四.ASK 调制解调的仿真电路的设计及参数设置

1.ASK 信号产生电路设计

本次设计中采用模拟法,其

中V1,V2都采用方波作为数字基

带信号.

V1设置其低电平V2=0V,高

电平V1=2V,延迟时间TD=0ms,上

升时间TR=O.0001ms,下降时间

TF=O.0001ms,脉冲宽度PW=1ms,

脉冲周期PER=2ms .

V2设置其低电平V2=0V,高

电平V1=1V,延迟时间TD=0us,

上升

时间TR=O.00001us,下降时间TF=O.00001us,脉冲宽度PW=5us,脉冲周期PER=10us .

此过程为信号的调制过程,调制是将某种低频信号(如音频信号)“加载”到为了便于传输的高频信号的过程。本设计采用模拟乘法器实现对信号的调制。

用模拟乘法器实现幅度调制的原理框图如下图:

音频信号

带通滤波器

单边带信号输出

载波信号

以调幅广播信号为例,将音频信=t 与高频载波信号=t分别接入模拟乘法器的两个输入端,则输出电压为=2K t t

=K[t+t]

由于被调制的低频信号并非单一频率而是某一频段的信号,如音频信号的频率为20Hz~20KHz。所以乘法器的输出电压是以调制频率为中心的两段频段,简称便带。()为上边带;()为下边带。在乘法器的输出端接一个带通滤波器可滤除其中的一个边带,而

保留另一个边带发送。

=K t

2.ASK 信号解调电路设计

本次设计中采用相干解调法,由常规双

边带调幅(AM )信号的频谱可知,如果

将已调信号的频谱搬回到原点位置,即可

得到原始的调制信号频谱,从而恢复出原

始信号。解调中的频谱搬移同样可用调制

时的相乘运算来实现。因此V1、V2 相乘

后所得2ASK 信号再与V3方波信号相

乘即可实现ASK 信号的解调。电路如左

图所示:

其中V3采用方波信号,设置其低电平

V2=0V,高电平V1=1V,延迟时间TD=0us,

上升时间TR=O.00001us,下降时间

TF=O.00001us,脉冲宽度PW=5us,脉冲周期

PER=10us .

此过程为信号的解调过程,解调是调制的逆变换,即从调制过程的高频信号中提取原低频信号的过程。本设计采用模拟乘法器实现对信号的解调。

用模拟乘法器实现幅度解调的原理框图如下图:

调幅信号

解调是调制的逆过程,同样是利用乘法器来实现将音频信号从调幅波中分离出来。乘法器的两个输入端分别接入调幅波(下边带)

=及与调制时的载波信号同频同相的载波信号=t,则可以得到输出信号为

=K[t+t]

通过低通滤波器滤除其中的高频分量,则可以得到输出电压幅值与原信号(=t)略有不同,但频率都为的低频信号。

3.滤波电路环节设计

本设计采用一阶滤波电路,由于采用了脉冲周期:PER=10us

(f=1/10us=100kHz)的高频方波载波信号,故此处所用滤波器的时间常数=1/f=10us ,因此先选定电阻R1=5k ,与之对应选择电容C1=0.002uF,即可满足此时间常数要求。

4.比较电路环节设计

其中LM324 与R2、R3 构成一个反向器,LM324 工作的正端电压设置为5Vdc。其电路如下图所示

5.电压判决电路环节设计

该处电压抽样判决器中负端工作电压由V6 处的5Vdc 经R4、R5构成的电压取样电路取得1Vdc 与LM324 的正端输入电压信号比较,当输入信号大于1Vdc 时,LM324 输出为高电平,否则为低电平。从而将原低频调制信号解调还原出来。电路如下图所示

6.ASK 调制解调仿真电路综合设计

综合上述各个电路环节,最后得ASK 调制解调的仿真电路如下图所示:

五.PSPICE 环境下仿真波形及波形分析

1.电路图标记各点在pspice 环境下的仿真波形:

上图为01处波形(图1) 上图为02处波形(图2) 上图为03处波形(图3) 上图为04处波形(图4) 上图为05处波形(图5)

2.波形分析:

通过观察各个关键点的波形可知,01处的波形就是所要产生的2ASK 信号波形,即调制波形。02处的波形就是将上述2ASK 信号相干解调后所得波形,即解调波形。03处波形就是经滤波处理后所得波形,04

TD = 0ms

PW = 1ms

PER = 2ms

V1 = 2V

V2 = 0V

处的波形就是电压判决器电路工作的工作基准电压波形,05处的波形就是解调还原出的调制信号波形,各观测点波形均为预期波形,说明仿真结果达到了设计要求,该设计具有可实用性。

3.结论

用一个乘法器将数字基带信号和载波信号相乘即可产生2ASK 信号,再将此2ASK信号与一个同样的高频载波信号相乘即可将此2ASK

信号实现相干解调,2ASK 信号通过滤波器滤除残余高频信号后,送到电压抽样判决器然后获得解调输出,其中抽样判决对于提高数字信号的接收性能十分必要。

六.设计总结

本次课程设计,是对平时所学知识的检验和扩展,是一个较好的理论接触实际的机会。在完成本次课程设计的过程中,遇到的难题也是比较多的,例如,在使用orcad软件进行电路的设计和调试过程中,软件中的电子原件的型号选择,原件的参数设置,仿真时间的设定。但是经过向老师和同学的请教以及查阅相关书籍资料,顺利完成了课程设计任务,锻炼了我独立解决问题的能力。

通过本次课程设计,不仅锻炼了我的计算机应用能力,使我对orcad软件的基本应用有了进一步的理解与认识,对软件的操作也更为熟练,也使我懂得了要将平时的理论知识应用到实际中去并非易事,是需要很多的努力的,除此之外,还让我明白了如何积极主动的学习以及自我学习的一些方法,培养了自我学习的兴趣。

七.参考文献

全能ORCAD 混合电路仿真-------郑光钦--- 中国铁道出版社-2000

通信原理教材-------------------樊昌信等-- 国防工业出版社-2001

高频电子线路-------------------黄亚平---- 机械工业出版社-2007

电网调度自动化与配电自动化技术-王士政-中国水利水电出版社

-2006

模拟电子技术基础----------------杨拴科----高等教育出版社

-2003

版权申明

本文部分内容,包括文字、图片、以及设计等在网上搜集整理。版权为潘宏亮个人所有

This article includes some parts, including text, pictures, and design. Copyright is Pan Hongliang's personal ownership.

用户可将本文的内容或服务用于个人学习、研究或欣赏,以及其他非商业性或非盈利性用途,但同时应遵守著作权法及其他相关法律的规定,不得侵犯本网站及相关权利人的合法权利。除此以外,将本文任何内容或服务用于其他用途时,须征得本人及相关权利人的书面许可,并支付报酬。

Users may use the contents or services of this article

for personal study, research or appreciation, and other

non-commercial or non-profit purposes, but at the same time, they shall abide by the provisions of copyright law and other relevant laws, and shall not infringe upon the legitimate rights of this website and its relevant obligees. In addition, when any content or service of this article is used for other purposes, written permission and remuneration shall be obtained from the person concerned and the relevant obligee.

转载或引用本文内容必须是以新闻性或资料性公共免费信息为

使用目的的合理、善意引用,不得对本文内容原意进行曲解、修改,并自负版权等法律责任。

Reproduction or quotation of the content of this article must be reasonable and good-faith citation for the use of news or informative public free information. It shall not misinterpret or modify the original intention of the content of this article, and shall bear legal liability such as copyright.

ASKFSKPSK的调制与解调

2ASK的调制与解调 一、实验目的 1.加深理解2ASK调制与解调原理。 2.学会运用SystemView仿真软件搭建2ASK调制与解调仿真电路。 3.通过仿真结果观察2ASK的波形及其功率谱密度。 二、仿真环境 Windows98/2000/XP SystemView5.0 三、2ASK调制解调原理方框图 1.2ASK调制原理 图1 2ASK键控产生 图2 2ASK相乘法产生 2.2ASK解调原理 图3 2ASK相干解调

四、2ASK调制解调仿真电路

1.仿真参数设置 1)信号源参数设置:基带信号码元速率设为101==T R B 波特,2ASK 信号中心载频设为 Hz f s 20=。(说明:中心载频 s f 设得较低,目的主要是为了降低仿真时系统的抽样 率,加快仿真时间。) 2)系统抽样率设置:为得到准确的仿真结果,通常仿真系统的抽样率应大于等于10倍的载频。本次仿真取10 s f ,即200Hz 3)系统时间设置:通常设系统Start time=0。为能够清晰观察每个码元波形及2ASK 信号的功率谱密度,在仿真时对系统Stop time 必须进行两次设置,第一次设置一般取系统Stop time=6T~8T ,这时可以清楚地观察到每个码元波形;第二次设置一般取系统Stop time=1000T~5000T ,这时可以清楚地观察到2ASK 信号的功率谱密度。 2.2ASK 信号调制与解调的仿真电路图 图4 2ASK 信号调制与相干解调仿真电路 图5 2ASK 信号调制与包络检波仿真电路 五、仿真结果参考

S y s te mV iew 00 500.e -3500.e -3 1 1 1.51.5 2 2 500.e -3 1 1.5 2 m T i m e i n S e c o n d s 调制信号波 图6 输入信号波形 S y s te mV iew 00 500.e -3500.e -3 1 1 1.51.5 2 2 -2 -1.5 -1 -500.e -3 500.e -3 1 1.5 2 m T i m e i n S e c o n d s 已调信号波形 图7 2ASK 信号波形 S y s te mV iew 00 500.e -3500.e -3 1 1 1.51.5 2 2 -1 -500.e -3 500.e -3 1 A m T i m e i n S e c on d s 解调输出波形 图8 解调输出波形 图9 已调信号的频谱(载频为50Hz ) 六、自行搭建调试仿真电路,完成设计任务 2FSK 调制与解调 一、实验目的 1. 掌握2FSK 调制与解调原理; 2. 掌握仿真软件Systemview 的使用方法; 3. 完成对2FSK 调制与解调仿真电路设计,观察2FSK 波形及其功率谱密度。

实验七 振幅键控(ASK)调制与解调实验

实验七振幅键控(ASK)调制与解调实验 一、实验目的 1、掌握用键控法产生ASK 信号的方法。 2、掌握ASK 非相干解调的原理。 二、实验内容 1、观察ASK 调制信号波形 2、观察ASK 解调信号波形。 三、实验器材 1、信号源模块一块 2、③号模块一块 3、④号模块一块 4、⑦号模块一块 5、20M 双踪示波器一台 6、连接线若干 四、基本原理 调制信号为二进制序列时的数字频带调制称为二进制数字调制。由于被调载波有幅度、频率、相位三个独立的可控参量,当用二进制信号分别调制这三种参量时,就形成了二进制振幅键控(2ASK)、二进制移频键控(2FSK)、二进制移相键控(2PSK)三种最基本的数字频带调制信号,而每种调制信号的受控参量只有两种离散变换状态。 1、2ASK 调制原理。 在振幅键控中载波幅度是随着基带信号的变化而变化的。使载波在二进制基带信号1 或0 的控制下通或断,即用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2ASK 信号,这种二进制振幅键控方式称为通—断键控(OOK)。2ASK 信号典型的时域波形如图9-1 所示,其时域数学表达式为:S2 ASK (t) = a n ⋅ A cosωc t

(9-1)式中,A 为未调载波幅度, c 为载波角频率,a n 为符合下列关系的二进制序列的第n 个码元。 图9-1 2ASK 信号的典型时域波形

2ASK 信号的产生方法比较简单。首先,因2ASK 信号的特征是对载波的“通-断键控”,用一个模拟开关作为调制载波的输出通/断控制门,由二进制序列S(t) 控制门的通断,S (t) =1 时开关导通;S(t) =0 时开关截止,这种调制方式称为通-断键控法。其次,2ASK 信号可视为S(t)与载波的乘积,故用模拟乘法器实现2ASK 调制也是很容易想到的另一种方式,称其为乘积法。 2、2ASK 解调原理。 2ASK 解调有非相干解调(包络检波法)和相干解调(同步检测法)两种方法。 五、实验原理 1、ASK 调制电路 在这里,我们采用的是通-断键控法,2ASK 调制的基带信号和载波信号分别从“ASK-NRZ”和“ASK 载波”输入,其实验框图和电路原理图分别如图9-3、图9-4 所示。

ASK调制与解调电路设计方案

封面 作者:PanHongliang 仅供个人学习

《电力系统自动化》课程设计任务书 目录

一.背景描述…………………………二.设计内容…………………………三.工作原理…………………………四.电路设计及参数设置……………五.仿真及波形分析…………………六.设计总结…………………………七.参考文献………………………… 一.背景描述: 电力系统远动技术是为电力系统调度服务的远距离监测、控制技术。由于电能生产的特点,能源中心和负荷中心一般相距甚远,电力系统分布在很广的地域,其中发电厂、变电所、电力调度中心和用户之间的距离近则几十公里,远则几百公里甚至数千公里。要管理和监控分布甚广的众多厂、所、站和设备、元器件的运行工况,已不能用通常的机械联系或电联系来传递控制信息或反馈的数据,必须借助于一种技术手段,这就是远动技术。它将各个厂、所、站的运行工况(包括开关状态、设备的运行参数等)转换成便于传输的信号形式,加上保护措施以防止传输过程中的外界干扰,经过调制后,由专门的信息通道传送到调度所。在调度所的中心站经过反调制,还原为原来对应于厂、所、站工况的一些信号再显示出来,供给调度人员监控之用。调度人员的一些控制命令也可以通过类似过程传送到远方厂、所、站,驱动被控对象。这一过程实际上涉及遥测、遥信、遥调、遥控,所以,远动技术是四遥的结合。

二.设计内容: 1.对电力系统远动信息传输系统的主要环节进行理论分析和研 究。 2. 熟悉数字调幅技术的有关原理和实现方法。 3. 设计ASK调制解调电路。 4. 熟悉ORCAD软件的应用,学习元件库使用、原理图的建立以 及应用原理图进行仿真的基本方法。 三. 工作原理: 1. 数字调幅技术的原理和实现方法 (1)数字调制的概念 用二进制(多进制)数字信号作为调制信号,去控制载波某些参量的变化,这种把基带数字信号变换成频带数字信号的过程称为数字调制,反之,称为数字解调。 (2)数字调制的分类 在二进制时分为:振幅键控(ASK)、频移键控(FSK)、相移键控(PSK)。其中,ASK 属于线性调制,FSK、PSK 属于非线性调制。 (3)数字调制系统的基本结构 (4)ASK调制波形与方框图: 2.二进制幅移键控(ASK) (1)ASK 信号的产生

ASK调制与解调电路设计

ASK调制与解调电路设计 调制与解调电路是无线通信中的重要组成部分,用于将信息信号转换为适合传输的高频信号,并在接收端将高频信号还原为原始信息信号。接下来将详细介绍调制与解调电路的设计。 一、调制电路设计: 调制电路主要用于将低频信息信号调制到高频载波上进行传输,常见的调制方式有幅度调制(AM)、频率调制(FM)和相位调制(PM)。 1.AM调制电路设计: AM调制主要包括信号放大、频率变换、调幅和输出滤波等环节。具体设计步骤如下: (1)信号放大:将输入的低频信号经过放大电路进行放大,一般使用运放进行放大。 (2)频率变换:将放大后的信号通过频率变换电路转换为所需的高频信号,常见的频率变换方式有上、下变频和乘法变频等。 (3)调幅:将频率变换后的高频信号经过调幅电路进行调幅,常用的调幅电路有晶体二极管调制器和集成电路调制器等。 (4)输出滤波:将调幅后的信号通过低通滤波器进行滤波,去除高频噪声和杂波。 2.FM调制电路设计: FM调制是将信息信号的频率变化转换为载波频率的变化,并将其用于传输。FM调制电路的设计步骤如下:

(1)信号放大:将输入的低频信号经过放大电路进行放大,使用运放或差动放大电路进行放大。 (2)频率变换:将放大后的信号通过频率变换电路转换为所需的高频信号,常见的频率变换方式有上、下变频和乘法变频等。 (3)调频:将频率变换后的高频信号进行调频,一般采用三角调制电路进行调频。 (4)输出滤波:将调频后的信号经过低通滤波器进行滤波,去除高频噪声和杂波。 3.PM调制电路设计: PM调制是将信息信号的相位变化转换为载波相位的变化,并将其用于传输。PM调制电路的设计步骤如下: (1)信号放大:将输入的低频信号经过放大电路进行放大,使用运放或差动放大电路进行放大。 (2)频率变换:将放大后的信号通过频率变换电路转换为所需的高频信号,常见的频率变换方式有上、下变频和乘法变频等。 (3)调相:将频率变换后的高频信号进行调相,一般采用集成电路调相器进行调相。 (4)输出滤波:将调相后的信号经过低通滤波器进行滤波,去除高频噪声和杂波。 二、解调电路设计:

2ASK调制解调系统的设计

2ASK 数字调制、解调系统的设计 摘 要:数字幅度调制又称幅度键控(ASK ),二进制幅度键控记作2ASK 。2ASK 是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。有载波输出时表示发送“1”,无载波输出时表示发送“0”。 本设计主要采用相乘法来产生2ASK 信号,实现2ASK 的数字调制,采用相干解调法对2ASK 信号进行解调。 关键词:2ASK 调制 解调 仿真 波形 1 设计任务与要求 1.1 设计一个2ASK 数字调制、解调系统; 1.2 使用Multisim 软件或EWB 软件对系统单元电路进行仿真; 1.3 对各个关键点进行波形测试,将仿真后的波形与电路图记下。 2 方案设计与论证 2.1 2ASK 的调制[1] 在二进制数字振幅调制中,载波的幅度随着调制信号的变化而变化,实现这种调制的方式有两种: (1)相乘法:通过相乘器直接将载波和数字信号相乘得到输出信号,这种直接利用二进制数字信号的振幅来调制正弦载波的方式称为相乘法,其电路如图1所示。在该电路中载波信号和二进制数字信号同时输入到相乘器中完成调制。 图1 相乘法 (2)开关法:这种方法是使载波在二进制信号“1”和“0”的控制下分别接通和断开,这种二进制振幅键控方式称为开关键控方式,它是2ASK 的一种常用的方式。 以二进制数字信号去控制一个初始相位为0的正弦载波幅度,可得其时域表达式如下: ()()t cos t s t e c ωA = 式中的各参数含义如下:A 为载波振幅,()t s 为二进制数字调制信号,c ω为载波角频率,()t e 为2ASK 已调波。 二进制数字振幅键控电路原理模型如图2所示。

二进制振幅键控(ASK)调制器与解调器设计

课程设计任务书 学生姓名:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 二进制振幅键控(ASK)调制器与解调器设计 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-Shift Keying)。ASK有两种实现方法: 1.乘法器实现法 2.键控法 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。 ASK解调方法有两种 1. 同步解调法 2. 包络解调法。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要................................................................................................................................. I Abstract .......................................................................................................................... I I 1. 绪论 .. (1) 1.1 本课题的研究现状 (1) 1.2 选题目的意义 (1) 2.2ASK系统工作原理及数学模型 (2) 2.1 2ASK的调制原理及设计方法 (2) 3.2ASK各个模块的设计 (4) 3.1 2ASK的调制部分 (4) 3.2 2ASK解调部分 (4) 4.VHDL程序设计 (5) 4.1 2ASK调制部分程序设计 (5) 4.2 2ASK解调程序设计 (6) 5. 2ASK的仿真结果及分析 (7) 5.1Quartus II的介绍 (7) 5.2Quartus II的优点 (7) 5.3 2ASK调制仿真 (8) 5.4 2ASK解调仿真 (9) 6.总结 (12) 7.参考文献 (13) 附录 (14)

实验三-ASK调制及解调实验

实验三-ASK调制及解调实验 一、实验目的 1、掌握用键控法产生ASK信号的方法。 2、掌握ASK非相干解调的原理。 二、实验器材 1、主控&信号源、9号模块各一块 2、双踪示波器一台 3、连接线若干 三、实验原理 1、实验原理框图 信号源PN15128K基带信号调制输出载波1ASK解调输出门限判决LPF-ASK低通滤波整流输出半波整流解调输入门限调节9#数字调制解调模块ASK调制及解调实验原理框图 2、实验框图说明 ASK调制是将基带信号和载波直接相乘。已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。 四、实验步骤 实验项目一ASK调制 概述:ASK调制实验中,ASK(振幅键控)载波幅度是随着基带信号的变化而变化。在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的载波波形,验证ASK调制原理。

1、关电,按表格所示进行连线。 源端口信号源:PN信号源:128KHz目的端口连线说明模块9: TH1(基带信号)调制信号输入模块9:TH14(载波1)载波输入模块9: TH4(调制输出)模块9:TH7(解调输入)解调信号输入2、开电,设置主控菜单,选择【主菜单】→【通信原理】→【ASK数字调制解调】。将9号模块的S1拨为0000。 3、此时系统初始状态为:PN序列输出频率32KHz,调节128KHz载波信号峰峰值为3V。 4、实验操作及波形观测。 (1)分别观测调制输入和调制输出信号:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH4,验证ASK调制原理。 (2)将PN序列输出频率改为64KHz,观察载波个数是否发生变化。实验项目二ASK解调 概述:实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证ASK解调原理。观测解调输出的中间观测点,如:TP4(整流输出),TP5(LPF-ASK),深入理解ASK解调过程。 1、保持实验项目一中的连线及初始状态。 2、对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH6,调节W1直至二者波形相同;再观测TP4(整流输出)、TP5(LPF-ASK)两个中间过程测试点,验证ASK 解调原理。 五、实验报告

ASK调制及解调试验

实验报告 课程名称:《通信原理》开课学期:17-18学年第1学期实验室地点:实训楼512学生姓名: 学号:专业班级: 电子信息学院

实验项目名称 ASK 调制及解调实验 实验日期 2017.11.24 学生姓名 学 号 专业班级 指导教师 一、实验目的和要求 1、掌握用键控法产生ASK 信号的方法。 2、掌握ASK 非相干解调的原理。 二、实验内容和原理 实验原理: 1、实验原理框图: ASK 调制及解调实验原理框图 2、实验框图说明 ASK 调制是将基带信号和载波直接相乘。已调信号经过半波整流、低通滤波后, 通过门限判决电路解调出原始基带信号。 实验内容:1、ASK 调制; 2、ASK 解调。 三、主要仪器设备 2、双踪示波器一台 3、连接线 1、主控&信号源、9号模块 各一块 若干 [门限* N W 也字喇薛潮《块

四、实验操作方法和步骤 ASK调制: 1、关电,按表格所示进行连线。 2、开电,设置主控菜单,选择【主菜单】一【通信原理】一【ASK数字调制解调】。将9号模块的S1拨为0000。 3、此时系统初始状态为:PN序列输出频率32KHz,调节128KHz载波信号峰峰值为 3V。 4、实验操作及波形观测。 (1)分别观测调制输入和调制输出信号:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH4,验证ASK调制原理。 (2)将PN序列输出频率改为64KHz,观察载波个数是否发生变化。 ASK解调: 1、保持实验项目一中的连线及初始状态。 2、对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH6,调节W1直至二者波形相同;再观测TP4 (整流输出)、TP5 (LPF-ASK)两个中间过程测试点,验证ASK解调原理。 3、以信号源的CLK为触发,测9号模块LPF-ASK,观测眼图。 五、实验记录与处理(数据、图表、计算等) ASK调制: (1):

ASK调制与解调电路设计资料

ASK调制与解调电路设计资料 调制与解调电路是实现信号传输和通信的重要组成部分,广泛应用于无线电通信、广播电视、数据传输等领域。本文将介绍调制与解调电路的设计原理、常见的调制和解调方法以及一些常用电路设计资料。 一、调制与解调原理 调制是将要传输的信号通过调制电路转化为能够传输的信号,而解调则是将传输的信号通过解调电路恢复为原始信号。调制的目的是将信号转化为适合传输的带通信号,解调则是将这个带通信号恢复为原始信号。 调制与解调的原理常用的有以下几种: 1.幅度调制(AM):通过改变载波的幅度来实现调制,原始信号的振幅决定了载波的幅度。 2.频率调制(FM):通过改变载波频率的偏移来实现调制,原始信号的频率决定了载波的频偏。 3.相位调制(PM):通过改变载波的相位来实现调制,原始信号的相位决定了载波的相位变化。 二、常见的调制和解调方法 1.AM调制与解调:AM调制的常见方式有DSB-SC调制、SSB调制和VSB调制。AM解调的常见方式有幅度检波和同步检波。 2.FM调制与解调:FM调制的常见方式为调频调制,FM解调的常见方式有相干解调、鉴相解调和包络检波。

3.PM调制与解调:PM调制的常见方式为调相调制,PM解调的常见方式有包络检波和频率判决解调。 调制与解调电路的设计涉及到信号处理、滤波、功率放大等方面的知识。下面列举一些常用的调制与解调电路设计资料,供参考: 1.《调制与解调技术基础》(赵文宝著) 该书介绍了调制与解调技术的基本原理、调制与解调系统的设计方法以及常用调制与解调电路的设计等内容。 2.《调制与解调电路设计与仿真》(王桂华著) 该书详细介绍了调制与解调电路的基本知识、设计方法和实际应用,以及常用EDA(Electronic Design Automation)工具进行调制与解调电路的仿真与设计。 3.《现代电子通信技术与应用》(张六一主编) 该书全面介绍了现代电子通信技术与应用的基本原理、调制与解调技术的设计原理以及常用电路的设计方法。 4.《DSP技术在通信中的应用与实践》(毛嘉进著) 该书详细介绍了数字信号处理在调制与解调中的应用,讲解了数字调制与解调技术的原理、实现方法和算法设计。 以上是一些常见的调制与解调电路设计资料,供读者参考和学习。调制与解调电路设计是一个综合性较强的领域,需要综合运用电路理论和信号处理知识,同时结合具体应用进行设计和优化。希望能对读者理解和学习调制与解调电路设计有所帮助。

ASK调制与解调电路设计及仿真

ASK调制与解调电路设计及仿真 在通信系统中,调制和解调电路是至关重要的组成部分。调制是将信息信号转换成适合在通信信道中传输的信号的过程,而解调则是将传输过来的信号恢复成原始信号的过程。下面将详细介绍调制与解调电路的设计及仿真。 1.调制电路设计和仿真: 调制电路的设计目标是将原始信息信号转换成适合在通信信道中传输的信号。常见的调制方式包括频率调制(FM)、相位调制(PM)和振幅调制(AM)。调制电路的设计应考虑如下因素: (1)信号源:需确定原始信息信号的频率范围、幅度以及波形特征。 (2)载波信号源:选择适合的载波频率和波形。 (3)调制电路:根据调制方式选取合适的调制电路,如较简单的RC电路或相移电路等。 (4)调制参数调整:通过改变调制电路的参数,可以对调制信号的频率、相位和幅度进行调节。 (5) 仿真验证:利用电路仿真软件(如Multisim、LTspice等)对设计的调制电路进行仿真、调试和验证。 2.解调电路设计和仿真: 解调电路的设计目标是将经过调制的信号恢复成原始信息信号。解调电路的设计应考虑如下因素:

(1)调制方式和参数:了解调制信号的调制方式和参数,确定解调电 路的工作方式。 (2)解调电路选型:选择合适的解调电路,如包络检波电路、鉴频器等。 (3)解调参数调整:通过调整解调电路的参数,对解调信号的频率、 相位和幅度进行调节。 (4)仿真验证:利用电路仿真软件对设计的解调电路进行仿真、调试 和验证。 (5)信号恢复质量评估:通过仿真结果评估解调电路对原始信息信号 的恢复质量,包括信噪比、失真度等。 3.综合设计和仿真: 在设计调制和解调电路时,需要充分考虑信号传输的特性、噪声干扰、抗干扰性能等因素。通过电路仿真软件,可以进行综合设计和仿真,优化 调制和解调电路的性能。 此外,还可考虑以下因素: (1)双向通信:在调制和解调电路设计中,需要考虑双向通信的情况,即在同一通信链路上实现信号的传输和接收。 (2)多路复用:有时需要将多个信号在同一通信信道中传输,此时需 要设计相应的多路复用电路,实现信号的分离和恢复。 (3)抗干扰性能优化:在设计调制和解调电路时,可以采用滤波器和 抗干扰电路等措施,提高电路的抗干扰性能。

ASK调制及解调实验报告

实验三ASK调制及解调实验 一、实验目的 1、掌握用键控法产生ASK信号的方法。 2、掌握ASK非相干解调的原理。 二、实验器材 1、主控&信号源、9号模块各一块 2、双踪示波器一台 3、连接线若干 三、实验原理 1、实验原理框图 ASK调制及解调实验原理框图 2、实验框图说明 ASK调制是将基带信号和载波直接相乘。已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。 四、实验步骤 实验项目一ASK调制 概述:ASK调制实验中,ASK(振幅键控)载波幅度是随着基带信号的变化而变化。在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的载波波形,验证ASK调制原理。 1、关电,按表格所示进行连线。

2、开电,设置主控菜单,选择【主菜单】→【通信原理】→【ASK数字调制解调】。将9号模块的S1拨为0000。 3、此时系统初始状态为:PN序列输出频率32KHz,调节128KHz载波信号峰峰值为3V。 4、实验操作及波形观测。 (1)分别观测调制输入和调制输出信号:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH4,验证ASK调制原理。 (2)将PN序列输出频率改为64KHz,观察载波个数是否发生变化。

实验项目二ASK解调 概述:实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证ASK解调原理。观测解调输出的中间观测点,如:TP4(整流输出),TP5(LPF-ASK),深入理解ASK解调过程。 1、保持实验项目一中的连线及初始状态。 2、对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH6,调节W1直至二者波形相同;再观测TP4(整流输出)、TP5(LPF-ASK)两个中间过程测试点,验证ASK解调原理。 3、以信号源的CLK为触发,测9号模块LPF-ASK,观测眼图。

ASK调制解调电路设计

ASK调制解调电路设计 调制解调电路是通信系统中的关键组成部分,它负责将原始信号转换 成适合传输的模拟或数字信号,并在接收端将其恢复原始形式。在本文中,将介绍调制解调电路的设计原理、常见的调制解调技术以及一些实际设计 中的考虑因素。 调制解调电路的设计原理: 调制的目的是将原始信号与载波信号进行合并,以便在传输过程中提 高信号的传输效率。调制技术主要分为模拟调制和数字调制两种类型。 模拟调制是将原始信号通过其中一种调制方式,将其频率、振幅或相 位与载波信号进行调制,生成调制信号。常见的模拟调制技术有幅度调制(AM)、频率调制(FM)、相位调制(PM)等。对于模拟调制,常用的调 制解调电路包括运算放大器、功率放大器、滤波器等。 数字调制则是通过将原始信号转换为数字形式,以便在数字通信系统 中传输和处理。常见的数字调制技术有振幅移键(ASK)、频率移键(FSK)、相位移键(PSK)和正交振幅移键(QAM)等。 常见的调制解调技术: 1.ASK调制解调电路设计: ASK是一种简单的数字调制技术,它将二进制信号转换为有限数量的 离散振幅级别。在调制端,二进制信号通过将载波的振幅进行调制。在解 调端,使用信号检波器将调制信号转换为原始二进制信号。 2.FSK调制解调电路设计:

FSK是一种将二进制信号转换为不同频率的数字调制技术。调制端通 过控制两个频率,将二进制信号转换成相应频率的调制信号。解调端通过 对不同频率信号的检测,将调制信号恢复为原始二进制信号。 3.PSK调制解调电路设计: PSK是一种将二进制信号转换为不同相位的数字调制技术。调制端通 过控制载波的相位,将二进制信号转换成相应相位的调制信号。解调端通 过相位解调器将调制信号恢复为原始二进制信号。 考虑因素: 在设计调制解调电路时 1.带宽和数据率:调制解调电路的带宽需要与传输信号的带宽相匹配,以确保传输的完整性。 2.抗噪性能:调制解调电路需要在有噪声存在的环境中工作,并恢复 原始信号的准确性。 3.功耗:调制解调电路在设计中应尽可能降低功耗,以提高系统的效 率和延长电池寿命。 4.可制造性:调制解调电路的设计应具备可制造性,并能满足质量和 成本要求。 5.集成度:为了节省空间和减少设备数量,调制解调电路可以集成到 单个芯片或模块中。 总结:

ASK调制解调

基于Simulink的ASK频带传输系统仿真与性能分析 实验目的: 1)熟悉数字调制系统的的几种基本调制解调方法; 2)学会运用Matlab、Simulink设计这几种数字调制方法的仿真模型; 3)通过仿真,综合衡量系统的性能指标。 实验原理及分析: 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,所以本文主要讨论二进制的调制与解调,最后简单讨论一下多进制调制中的MFSK(M元移频键控)和MPSK(M元移相键控)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK和2-DPSK)等。此次实验二进制振幅键控,即——2—ASK。 典型的数字通信系统由信源、编码解码、调制解调、信道及信宿等环节构成,其框图如图3.1所示: 数字调制是数字通信系统的重要组成部分,数字调制系统的输入端是经编码器编码后适合在信道中传输的基带信号。对数字调制系统进行仿真时,我们并不关心基带信号的码型,因此,我们在仿真的时候可以给数字调制系统直接输入数字基带信号,不用再经过编码器。 图3.1 数字通信系统模型 根据Simulink提供的仿真模块,数字调制系统的仿真可以简化成如图3.2所示的模型:

图3. 2 数字调制系统仿真框图 通常,二进制振幅键控信号(2-ASK )的产生方法(调制方法)有两种,如 图3.3所示: (a) (b) 图3.3 2-ASK 信号产生的两种方法 2-ASK 解调的方法也有两种相应的接收系统组成方框如图3.4所示: 图3.4 2-ASK 信号接收系统组成框图 根据3.3(a )所示方框图产生2-ASK 信号,并用图3.4(b )所示的相干解调法来解调,设计2-ASK 仿真模型如图3.5所示:

2ASK调制与解调

信息综合训练 课程设计报告 2ASK调制与解调 学生姓名: *** 学号:*********** 电话:*********** 指导教师: *** 学院:****** 课程设计时间 2014 年12月29日— 2014 年1月 6日

一、课程设计目的 通过本课程的学习我们不仅能加深理解和巩固理论课上所学的有关2ASK调制与解调系统的基本概念、基本理论和基本方法,而且能锻炼我们分析问题和解决问题的能力;同时对我们进行良好的独立工作习惯和科学素质的培养,为今后参加科学工作打下良好的基础。 本次要求设计一个2ASK调制与解调,要求输入数字信号并进行接受判决,通过多次输入输出对所设计的系统性能进行分析,最后分析并掌握2ASK调制与解调。(1)了解2ASK信号的频谱与数字基带信号频谱之间的关系。 (2)理解2ASK调制的工作原理及电路组成。 (3)理解2ASK解调的原理及实现方法。 (4)掌握2ASK信号的频谱特性。 (5)掌握2ASK调制与解调的设计方法和过程。 二、课程设计任务 在数字传输系统中,数字信号对高频载波进行调制,变为频带信号,通过信道传输,在接收端解调后恢复成数字信号。由于大多数实际信号都是带通型的,所以必须先用数字基带信号对载波进行调制,形成数字调制信号再进行传输,因而,调制解调技术是实现现代通信的重要手段。数字调制的实现,促进了通信的飞速发展。研究数字通信调制理论,提供有效调制方式,有着重要意义。调制解调技术的实现方法有多种,本文应用了键控法产生调制与解调信号。 三、2ASK调制解调的设计 数字调制与模拟调制在本质上无多大区别,都属于正弦波调制。但是,数字调制是调制信号为数字型的正弦波调制,而模拟调制是调制信号为模拟型的正弦波调制,因而数字调制具有自身的特点,并且对数字调制系统的技术要求也与模拟调制系统不同。 一般说来,数字调制技术可分为两种类型:一是利用模拟方法实现数字调制,即把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值特点去键控载波,从而实现数字调制。后一种方法通常称为键控法。比如对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)及移相键控(PSK)等调制方式。

ASK调制及解调实验

ASK调制及解调实验 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

课程名称:《通信原理》开课学期:17-18学年第1学期实验室地点:实训楼512学生姓名: 学号:专业班级: 电子传息学1呢

一、实验目的和要求 1、掌握用键控法产生ASK信号的方法。 2、学握ASK非相干解调的原理。 二、实验内容和原理 实验原理: 1、实验原理框图: ASK调制及解调实验原理框图 2、实验框图说明 ASK调制是将基带信号和载波直接相乘。已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。 实验内容:1、ASK调制; 2、ASK解调。 三、主要仪器设备 1、主控&信号源、9号模块各一块 2、双踪示波器一台 3、连接线若干

(2): ASK解调: (1): (2): (3):

六、实验结果及分析 1、在进行FSK调制及解调实验的过程中,由于实验器材的问题,所测的波形与实际波形有点区别。 2、ASK调制实验中,ASK (振幅键控)载波幅度是随着基带信号的变化而变化。在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的载波波形,验证ASK调制原理。 3、实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证ASK解调原理。观测解调输出的中间观测点,如:TP4 (整流输出),TP5(LPF-ASK),深入理解ASK解调过程。 七、心得体会 ASK调制及解调实验,相对比较容易,在实验过程中基本没有遇到什么问题,这也是前两次实验犯错得出了许多的经验,所以能很顺利的完成了本次实验,就是学校的实验器材有些问题,导致在做实验的时候波形这些失真,其他没什么大的问题,希望下次也能做好。

ask调制与解调

摘要 本次综合训练主要是设计一个ASK频带传输系统并对其进行仿真与性能分析。本次综合训练仿真平台为MATLAB/Simulink。在设计此频带传输系统时,首先对信号进行ASK调制,再通过加入高斯白噪声传输信道,接着在接收端对信号进行ASK解调,最后把输出的信号和输入的信号进行比较。通过最后仿真结果可知,该模拟信号频带传输通信系统已初步实现了设计指标并可用于解决一些实际性的问题。 关键词:MATLAB/Simulink;高斯白噪声;ASK调制与解调

前言 通信(Communication)就是信息的传递,是指由一地向另一地进行信息的传输与交换,其目的是传输消息。然而,随着社会生产力的发展,人们对传递消息的要求也越来越高。在各种各样的通信方式中,利用“电”来传递消息的通信方法称为电信(Telecommunication),这种通信具有迅速、准确、可靠等特点,且几乎不受时间、地点、空间、距离的限制,因而得到了飞速发展和广泛应用。 目前,无论是模拟通信还是数字通信,在不同的通信业务中都得到了广泛的应用。但是,数字通信的发展速度已明显超过了模拟通信,成为当代通信技术的主流。与模拟通信相比,数字通信具有以下一些优点:抗干扰能力强,且噪声不积累;传输差错可控;便于用现代数字信号处理技术对数字信息进行处理、变换、存储;易于集成,使通信设备微型化,重量轻;易于加密处理,且保密性好。数字通信的缺点是,一般需要较大的带宽。另外,由于数字通信对同步要求高,因而系统设备复杂。但是,随着微电子技术、计算机技术的广泛应用以及超大规模集成电路的出现,数字系统的设备复杂程度大大降低。同时高效的数据压缩技术以及光纤等大容量传输媒质的使用正逐步使带宽问题得到解决。因此,数字通信的应用必将越来越广泛。本课程设计主要是设计一个ASK频带传输系统并对其进行仿真与性能分析。在设计此频带传输系统时,首先对输入信号利用相关的模块进行ASK调制,再通过加入高斯白噪声传输信道,接着在接收端对信号进行ASK解调,最后把输出的信号和输入的信号进行比较。

ASK调制解调

实验 8 ASK 调制解调 目录 一、实验目的 (2) 二、实验原理 (2) 1.调制与解调 (2) 2. 2ASK 调制 (2) 3 2ASK 解调 (3) 4.实验框图及功能说明 (5) 5.框图中各个测量点说明 (5) 三、实验任务 (5) 四、实验步骤 (6) 1.实验准备 (6) 2.ASK 调制观测 (6) 3.ASK 解调观测 (7) 4.ASK 系统性能分析 (11) 5.实验结束 (12) 五、实验分析 (12) 六、实验注意 (13)

一、实验目的 1.掌握ASK调制器的工作原理及性能测试; 2.掌握ASK包络检波法解调原理; 3.学习基于软件无线电技术实现ASK调制、解调的实现方法。 二、实验原理 1.调制与解调 数字信号的传输方式分为基带传输和带通传输。然而,实际中的大多数信道(如无线信道)因具有带通特性而不能直接传送基带信号,这是因为数字基带信号往往具有丰富的低频分量。为了使数字信号在带通信道中传输,必须用数字基带信号对载波进行调制,以使信号与信道的特性相匹配。这种用数字基带信号控制载波,把数字基带信号变换为数字带通信号(已调信号)的过程称为数字调制(digital modulation)。在接收端通过解调器把带通信号还原成数字基带信号的过程称为数字解调(digital demodulation)。通常把包括调制和解调过程的数字传输系统叫做数字频带传输系统。 数字信息有二进制和多进制之分,因此,数字调制可分为二进制调制和多进制调制。在二进制调制中,信号参量只有两种可能的取值;而在多进制调制中,信号参量可能有 M(M>2)种取值。本章主要讨论二进制数字调制系统的原理。 2. 2ASK 调制 振幅键控(Amplitude Shift Keying,ASK)是利用载波的幅度变化来传递数字信号,而其频率和初始相位保持不变。在2ASK中,载波的幅度只有两种变换状态,分别对应二进制信息“0”或“1”。 2ASK 信号的产生方法通常有两种:数字键控法和模拟相乘法。实验中采用了数字键控法,并且采用了最新的软件无线电技术。结合可编程逻辑器件和D/A 转换器件的软件无线电结构模式,由于调制算法采用了可编程的逻辑器件完成,因此该模块不仅可以完成 ASK,FSK 调制,还可以完成 PSK,DPSK,QPSK,OQPSK 等调制方式。不仅如此,由于该模块具备可编程的特性,学生还可以基于该模块进行二次开发,掌握调制解调的算法过程。在学习ASK,FSK 调制的同时,也希望学生能意识到,技术发展的今天,早期的纯模拟电路调制技术正在被新兴的技术所替代,因此学习应该是一个不断进取的过程。

基于FPGA的ASK调制解调器的设计

大连交通大学信息工程学院 毕业设计 (论文) 题目基于FPGA的ASK调制解调器的设计 学生姓名刘师宇专业班级信息工程09-2 指导教师徐佳职称讲师 所在单位电气工程系信息工程教研室 教研室主任石桂名 完成日期 2013年 6月28日

摘要 在科技高速发展的今天,作为现代通信系统的关键技术之一的调制解调技术一直是人们研究的一个重要方向。在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制,可使用振幅调制即振幅键控(ASK)。现场可编程门阵列(FPGA)在通信领域得到了广泛的应用,利用FPGA性能优越、使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。基于FPGA的ASK调制解调器具有外围电路简单,效率高,执行速度快,实用性高等特点。因此,这篇文章介绍了通过对VHDL语言及ASK相关知识的学习,运用VHDL语言进行编程和Quartus II软件进行仿真来实现基于FPGA的ASK调制解调器的设计,设计出相应硬件设计的电路图和软件设计并且得到仿真结果,完成了二进制基带数字信号的调制和解调得,到了相应的调制信号和解调信号,进而完成基于FPGA的ASK调制解调器的设计。 关键词:现场可编程门阵列振幅键控VHDL 调制解调

ABSTRACT In today's rapid development of science and technology. As one of the key technology of modern communication system of modulation demodulation technology has been research one of the important direction. In digital transmission systems, digital signal of high frequency carrier wave modulation, into a frequency band signal, carries on the demodulation in the receiving end, restore the original digital signal control of the carrier can use amplitude modulation amplitude keying (ASK). Field programmable gate array (FPGA) has been widely used in the field of communication, the advantage of the characteristics of FPGA is superior in performance, easy to use, can simplify the design of amplitude modulation demodulation circuit and easy to write and modify the program again and again. ASK a modem based on FPGA has the peripheral circuit is simple, high efficiency, execution speed, high practicability etc. Therefore, this article introduces the based on the VHDL language and ASK the related knowledge of learning, using VHDL language programming and the Quartus II software simulation to achieve ASK modem based on the FPGA design, design the corresponding hardware circuit diagram and software design and get the simulation results, complete the binary baseband digital signal modulation and demodulation, obtained the corresponding modulation signal and demodulation signal, and then finish ASK modem design based on FPGA. Key words:FPGA ASK VHDL modulator and demodulator

相关主题
文本预览
相关文档 最新文档