实验3 宏功能模块的应用
实验目的:熟悉Quartus Ⅱ的宏功能模块的应用。 实验工具:Quartus Ⅱ8.0 实验步骤: 工程设计步骤:
实验内容:
一、采用Quartus II 软件的宏功能模块lpm_counter 设计一个模为60的加法计数器,进行编译和仿真,查看仿真结果。
(1)原理图设计:如图
3-1-1
图3-1-1 模60加法计数器
(2)综合
模60加法计数器:如图 3-1-2
图 3-1-2 模60加法计数器综合报告
流动状态 软件版本 修复名称 顶层文件 器件系列
所有逻辑资源
所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号
时间模型
(3)功能仿真
模60加法计数器功能仿真波形图:如图3-1-3
图 3-1-3 模60加法计数器功能仿真
结论:图3-3宏功能模块中从上到下有以下几个引脚:异步清零端,时钟使能端,时
钟,同步置数端使能端,计数使能端,计数方向,置数输入端,输出端,进位输出端。
每个端口功能如图3-3解释,每个端口都实现了理论中的功能,所以功能仿真成功。
(4)时序仿真
模60加法计数器时序仿真波形图:如图3-1-4
图 3-1-4模60加法计数器时序仿真
结论:图3-4中时序仿真的引脚与图3-3相同,每个引脚实现的功能与图3-3相一致。
所不同的是在时序仿真中输出出现了微小的延时,并且输出之间出现了许多细小的毛刺,在进位输出端也出现了一个明显的毛刺。不过整体仿真的结果是正确的。
最大工作频率:310.37MHz 如图 3-1-5
图 3-1-5 最大工作频率
延时情况:
注:tsu(建立时间),th(保持时间),tco(时钟至输出延时),tpd(引脚至引脚延时)tpd(引脚至引脚延时)
tsu(建立时间)
tco(时钟至输出延时)
th(保持时间)
二、先利用LPM_ROM设计8位×8位乘法器,进行编译和仿真,查看仿真结果。(1)原理图设计:
1、用MATLAB产生一个8_8_mult_rom.mif文件。
MATLAB程序如下:
2、将产生的mif 文件导入存储器模块中。如图
3-2-2
图 3-2-2
3、完整原理图设计:如图
3-2-3
图3-2-3 8位×8位乘法器原理图
(2)综合 8位×8位乘法器综合报告:如图
3-2-4
图 3-2-4 8位×8位乘法器综合报告
(3)功能仿真
8位×8位乘法器功能仿真图:如图
3-2-5
图3-2-5 8位×8位乘法器功能仿真图
流动状态 软件版本 修复名称 顶层文件 器件系列
所有逻辑资源
所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型
验证结果:如图3-2-6
图3-2-6 验证结果
结论:a,b分别为8位的乘数,最大值都是255,所以最大的结果为65025。在图3-2-5中随机抽取了两种结果进行验算,计算器验算结果如图3-2-6,所得结果和仿真结果相同。所以8位×8位乘法器功能仿真是成功的。
(4)时序仿真
8位×8位乘法器时序仿真图:如图3-2-7
图3-2-7 8位×8位乘法器时序仿真图
结论:8位×8位乘法器时序仿真的结果与功能仿真结果基本相一致。所不同的是输出端的结果出现了延时情况,并且在输出之间出现了很多毛刺,但这些毛刺没有影响到输出结果。所以8位×8位乘法器时序仿真图也是成功的。
最大工作频率:500MHz 如图3-2-8
图3-2-8
延时情况:如图3-2-9
图3-2-9 延时情况
三、用数字锁相环实现分频,假定输入时钟频率为10MHz ,想要得到6MHz 的时钟信号,试用altpll 宏功能模块实现该电路。 (1)原理图设计:如图
3-3-1
图3-3-1 数字锁相环原理图
(2)综合 综合报告:如图
3-3-2
图 3-3-2
(3)功能仿真 锁相环功能仿真波形图:如图
3-3-3
图3-3-3 锁相环功能仿真图
流动状态 软件版本 修复名称 顶层文件 器件系列
所有逻辑资源
所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型
结论:时钟的周期为100ns,频率为1M。输出的周期为167ns,频率为0.598MHZ,约为
0.6MHz。输出频率为时钟频率的3/5。所以锁相环的功能成功。
(4)时序仿真
锁相环时序仿真波形图:如图3-3-4
图3-3-4 锁相环时序仿真图
结论:时序仿真图输出结果基本和图3-3-3功能仿真图一致。所不同的是输出标志段出现了微小的延时,但没有影响输出的结果。所以时序仿真图也是成功的。
Quartus II开发软件中的宏模块 一、RAM电路宏模块 RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom 二、时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器
实验心理学实验设计: 记忆的测量 —再认与知觉辨认 学院:教育科学学院 班级:10级应用心理学 姓名:XX 学号:XXXXXX
研究课题:内隐记忆是否存在及其与外显记忆的区别主试:XX XXX XXX等人 引言: Schacter等1980年进行了一个实验研究。首先让被试阅读一些单词,例如:assassin,octopus,avocado,mystery,sheriff,climate。一小时后,再做两次实验:首先是再认测验,被试不会有任何困难;其次是补笔测验,向被试呈现一些有字母残缺的单词,要求尽可能地将残缺字母填补上,例如:ch__nk,o_t_us,_og_y_,_l_m_te。在这次测验中,被试对其中的两个残缺单词很难做出正确解答,即chipmunk和bogeyman;而对其它单词就很容易了。这是因为在一小时以前见过octopus和climate这两个词。这种记忆被称为启动效应(priming effect)。 在实验中,Schacter等人对测验的时间间隔进行了控制,有的是在一小时后,有的是在一周后进行测试。在这两种情况下,后者对所学单词的再认,即有意识的回忆,远不如前者准确,但对于补笔测验的结果,两种情况下完全等同。这就是说,引起单词填补测验中的启动效应的,是在测验前看到这一单词所引起的某种并非自觉记忆的因素。同样有意思的是,对某一单词而言,即使被试不记得在学习阶段看到过这一单词,启动效应也会产生。事实上,不管被试记不记得在学习阶段看到过该单词,启动
效应的强度是一样的。这些研究结果似乎告诉我们:启动效应的产生不依赖于有意识的记忆。 在大量实验的基础上,Graf和Schacter于1985年提出了内隐记忆和外显记忆两个概念,内隐记忆从现象上来讲就是:被试在操作某任务时,不经有意识地回忆,存储在大脑中的信息会在操作中自动起作用。内隐记忆的特点是:被试对信息的提取是无意识的。由于内隐记忆的特征限制,要测量内隐记忆只能用间接法,而不能按照传统方法直接来测量记忆的内容。目前,测量内隐记忆的方法有知觉辨认、单词补笔等。本实验采用知觉辨认和再认的方法,以验证内隐记忆现象的客观存在,比较外显和内隐记忆测试的结果有何不同。 提出假设: 假设存在内隐记忆,那么也就存在启动效应。被试对于已经学习过的词语辨认正确率应该显著高于没有学习过的新词。实验目的: 通过实验证明存在内隐记忆,比较内隐记忆与外显记忆的不同点。 实验材料: 实验材料:纸、笔、电脑、90个汉语词语。80个汉语词语分为学习组和混淆组,每组40个。缓冲词10个对每个被试都相同,不包含在学习词中,随机呈现。
rec 空格长方形.正方形;ro 空格旋转;z 空格选中缩放 e 空格删除;ex 空格拉伸;s 空格缩放比例 z 空格e 空格> 全部显示;z 空格a 空格> 全屏缩放 z 空格p 空格>回到上一个缩放的任务;pl 空格pl 线 l 空格直线; c 空格圆; a 空格圆弧;di 空格测量直线距离li 空格整个图形信息;la 空格图层p 空格小手 z 空格空格缩放和小手;f 空格倒角;ml 空格ml 线 mirrtext 空格镜圆;dxfout 导出封闭形;AR: 阵列(array) pl 空格j 空格封闭图形;tr 空格修剪;x 空格炸开 B: 定义块;D: 尺寸资源管理器;F: 倒圆角;G: 对相组合 H: 填充;I: 插入;S: 拉伸;T: 文本输入 W: 定义块并保存到硬盘中;L: 直线;M: 移动;V: 设置当前坐标U: 恢复上一次操做;O: 偏移;F1: 获取帮助 F2: 实现作图窗和文本窗口的切换;F3: 控制是否实现对象自动捕捉 F4: 数字化仪控制;F5: 等轴测平面切换 F6: 控制状态行上坐标的显示方式;F7: 栅格显示模ro 空格旋转 ex 空格拉伸;z 空格a 空格> 全屏缩放;pl 空格pl 线 c 空格圆; a 空格圆弧;la 空格图层 f 空格倒角;dxfout 导出封闭形;tr 空格修剪;D: 尺寸资源管理器I: 插入;S: 拉伸;L: 直线;M: 移动;O: 偏移 F3: 控制是否实现对象自动捕捉面切换 F6: 控制状态行上坐标的显示方式;F式控制 F8: 正交模式控制;F9: 栅格捕捉模式控制 F10: 极轴模式控制;F11: 对象追踪式控制 Ctrl+B: 栅格捕捉模式控制(F9);Ctrl+C: 将选择的对象复制到剪切板上Ctrl+F: 控制是否实现对象自动捕捉(f3);Ctrl+G: 栅格显示模式控制(F7) Ctrl+J: 重复执行上一步命令;Ctrl+K: 超级链接;Ctrl+N: 新建图形文件 Ctrl+M: 打开选项对话框 AA: 测量区域和周长(area);AL: 对齐(align);AP: 加载*lsp程系AV: 打开视图对话框(dsviewer);SE: 打开对相自动捕捉对话框 ST: 打开字体设置对话框(style);SO: 绘制二围面( 2d solid) SP: 拼音的校核(spell);SC: 缩放比例(scale);SN: 栅格捕捉模式设置(snap) DT: 文本的设置(dtext);DI: 测量两点间的距离;OI:插入外部对相Ctrl+1: 打开特性对话框;Ctrl+2: 打开图象资源管理器;Ctrl+6: 打开图象数据原子 Ctrl+O: 打开图象文件;Ctrl+P: 打开打印对说框;Ctrl+S: 保存文件Ctrl+U: 极轴模式控制(F10);Ctrl+v: 粘贴剪贴板上的内容 Ctrl+W: 对象追踪式控制(F11);Ctrl+X: 剪切所选择的内容 Ctrl+Y: 重做;Ctrl+Z: 取消前一步的操作
实验04 类与对象(6学时) (第4章类与对象) 一、实验目的 二、实验任务 4_1 声明一个CPU类。 包含等级(rank)、频率(frequency)、电压(voltage)等属性,有两个公有成员函数run、stop。其中,rank为枚举类型CPU_Rank,声明为enum CPU_Rank{ P1=1, P2, P3, P4, P5, P6, P7 }; frequency为单位是MHz的整型数,voltage为浮点型的电压值。观察构造函数和析构函数的调用顺序。 4_2 声明一个简单的Computer类。 有数据成员芯片(cpu)、内存(ram)、光驱(cdrom)等,有两个公有成员函数run和stop。cpu为CPU类的一个对象,ram为RAM类的一个对象,cdrom为CDROM类的一个对象,声明并实现这个类。 4_3 声明一个表示时间的结构体。 可以精确表示年、月、日、小时、分、秒;提示用户输入年、月、日、小时、分、秒的值,然后完整地显示出来。
4_4(习题4-10)设计一个用于人事管理的People(人员)类。 考虑到通用性,这里只抽象出所有类型人员都具有的属性:number(编号),sex(性别)、birthday(出生日期)、id(身份证号)等。其中“出生日期”声明为一个“日期”类内嵌子对象。用成员函数实现人员信息的录入和显示。要求包括:构造函数和析构函数、内联成员函数、组合。 三、实验步骤 1.(验证)声明一个CPU类,观察构造函数和析构函数的调用顺序。 首先声明枚举类型CPU_Rank,例如enum CPU_Rank{ P1=1, P2, P3, P4, P5, P6, P7 },再声明CPU类,包含等级(rank)、频率(frequency)、电压(voltage)等私有数据成员,声明成员函数run和stop,用来输出提示信息,在构造函数和析构函数中也可以输出提示顺序。在主程序中声明一个CPU的对象,调用其成员函数,观察类对象的构造与析构顺序,以及成员函数的调用。程序名:。(程序见步骤2) ★程序的运行结果: 2.(示例)调试程序文件。 使用调试功能观察程序的运行流程,跟踪观察类的构造函数、析构函数、成员函数的执行顺序。参考程序如下: 操作)调试操作步骤如下: (1)单击“调试 | 逐语句”命令,系统进行单步执行状态,程序开始运行,一个DOS 窗口出现,此时Visual Studio中光标停在main()函数的入口处。
实验心理学考点整理 第一章 1、实验心理学:在实验控制条件下对心理能力会行为进行研究的心理学分支,是应用自然科学的实验方法研究心理规律的科学。 2、实验心理学的科学属性(1)客观性(2)验证性(3)系统性 3、获取知识信念两类方法(1)科学方法:演绎逻辑;归纳逻辑;中庸逻辑 (2)非科学方法:权威;注意凝聚;先验(ppt) 4、心理学研究方法:理论(思辨)的研究方法:理论心理学/心理学史等 现象学的研究方法:观察/个案/访谈 实证的研究方法:相关/实验 5、描述研究法:描述一种我们感兴趣的行为或现象为什么会发生。分为观察法(自然观察法与参与观察法)调查法、个案法 观察法样例:费孝通—江村经济福西—观察山地大猩猩 如何保证观察本身不会对观察结果产生影响?(反应性问题的控制) a.无干扰观察——艾布尔艾贝斯费尔特的无表情研究(调整镜头的角度) b.无干扰测量(如:课堂分析系统) 6、相关研究法a.是对两个或多个变量之间互相关系的测量b.与描述性研究方法相比,相关研究在控制、测量和统计分析更严格 c.相关研究的目的是在于证实变量之间是否存在关联性,并对其关系的强度和性质进行描述,并不解释内在原因 d.相关研究法优点:显示共变关系,提示因果关系。有因果一定有相关,有相关不一定有因果。 缺点:相关的前提必须满足;无法确定因果方向。 注:高相关不等于真的相关(可能与第三变量有关)高相关不等于因果关系推导因果关系时,相关关系是前提(因果一定相关,相关不一定因果) 低相关不等于无关系(全距和样本太少)问卷调查是因果研究,实验研究是相关研究。前者是后者的基础 第二章 1.心理学研究的规则:(1)多重条件规则;(2)避免混淆因素规;(3)则随机化规则;(4)统计检验规则;(5)使用全部数据规则 1.1多重条件规则 a.任何实验都必须不止一个条件 b.控制条件的重要属性—为自变量提供可比的基线 c.实验假设(1)含义:是对一个事件或一种行为提出的假定性解释,它预测特定前提条件会对被测量行为带来何种影响。(2)特点:精确性;合理性;可验证性(可证伪性) d.选择被试(1)含义:研究者研究的对象(2)选择被试的方法:简单随机法;系统随机法;分层随机法;等组匹配法;方便取样法;个案取样法e.实验变量的操纵与测量 f.额外变量的控制 1.2避免混淆因素规则 a.含义:不同条件之间,应该只在研究者感兴趣的因素上才有差别。
1.常用的宏命令 1.1常用的宏命令 1.1.1.释放技能命令 ●/cast 释放一个或多个技能,可以加入一些条件判断,是最常用的命令 ●/castsequence 依次释放释放数个技能,同样可以加入一些条件判断,常见于所谓的"无脑宏" ●/use 使用一个物品,可以直接用物品名称也可以用物品栏位置 1.1. 2.动作命令 ●/cancelform 取消变形 ●/cancelaura 取消状态或增益 ●/startattack 开始攻击,一般写不写差别不大,释放技能会自动开始攻击的 ●/stopattack 停止攻击,与startattack相反,用于防止破除控制等功能,也不大会用到 ●/target /targetenemy 切换目标,用到的也不多,抓贼宏会用到,更多时候需要的反而是不切换目标的情况下对特定目标释放技能 ●/mount 召唤坐骑 ●/dismount 解散坐骑 1.1.3.语言表情命令 ●/1 /2 /3 /4 在不同的频道里面说话,1综合,2交易,3本地防务,4寻求组队
●/s /y /p /ra /bg 在不同的范围说话,s说,y喊,p小队,ra团队,bg战场 ●/e 做出一串表情,同时也可以/+内置表情 1.1.4.特殊命令 ●#showtooltip 显示技能描述,可以指定技能名或是缺省,让你的界面更有可读性●/focus 指定某个目标为焦点目标 ●/clearfocus 清除焦点目标 ●/stopmacro 停止宏,可加入条件判断 ●/console /script 控制命令,抓贼宏会用到 1.2常用的条件判断 1.2.1.目标条件判断 ●help noharm 目标为友方 ●harm nohelp 目标为敌方 ●exists 目标存在 ●dead nodead 目标死亡/存活 1.2.2.自身条件判断 ●stance:1/2/3/4/5/6 form:1/2/3/4/5/6 处在某形态中,对应为 野性:1熊,2水栖,3豹,4旅行,5飞行