当前位置:文档之家› 实验3 宏功能模块的应用

实验3 宏功能模块的应用

实验3  宏功能模块的应用
实验3  宏功能模块的应用

实验3 宏功能模块的应用

实验目的:熟悉Quartus Ⅱ的宏功能模块的应用。 实验工具:Quartus Ⅱ8.0 实验步骤: 工程设计步骤:

实验内容:

一、采用Quartus II 软件的宏功能模块lpm_counter 设计一个模为60的加法计数器,进行编译和仿真,查看仿真结果。

(1)原理图设计:如图

3-1-1

图3-1-1 模60加法计数器

(2)综合

模60加法计数器:如图 3-1-2

图 3-1-2 模60加法计数器综合报告

流动状态 软件版本 修复名称 顶层文件 器件系列

所有逻辑资源

所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号

时间模型

(3)功能仿真

模60加法计数器功能仿真波形图:如图3-1-3

图 3-1-3 模60加法计数器功能仿真

结论:图3-3宏功能模块中从上到下有以下几个引脚:异步清零端,时钟使能端,时

钟,同步置数端使能端,计数使能端,计数方向,置数输入端,输出端,进位输出端。

每个端口功能如图3-3解释,每个端口都实现了理论中的功能,所以功能仿真成功。

(4)时序仿真

模60加法计数器时序仿真波形图:如图3-1-4

图 3-1-4模60加法计数器时序仿真

结论:图3-4中时序仿真的引脚与图3-3相同,每个引脚实现的功能与图3-3相一致。

所不同的是在时序仿真中输出出现了微小的延时,并且输出之间出现了许多细小的毛刺,在进位输出端也出现了一个明显的毛刺。不过整体仿真的结果是正确的。

最大工作频率:310.37MHz 如图 3-1-5

图 3-1-5 最大工作频率

延时情况:

注:tsu(建立时间),th(保持时间),tco(时钟至输出延时),tpd(引脚至引脚延时)tpd(引脚至引脚延时)

tsu(建立时间)

tco(时钟至输出延时)

th(保持时间)

二、先利用LPM_ROM设计8位×8位乘法器,进行编译和仿真,查看仿真结果。(1)原理图设计:

1、用MATLAB产生一个8_8_mult_rom.mif文件。

MATLAB程序如下:

2、将产生的mif 文件导入存储器模块中。如图

3-2-2

图 3-2-2

3、完整原理图设计:如图

3-2-3

图3-2-3 8位×8位乘法器原理图

(2)综合 8位×8位乘法器综合报告:如图

3-2-4

图 3-2-4 8位×8位乘法器综合报告

(3)功能仿真

8位×8位乘法器功能仿真图:如图

3-2-5

图3-2-5 8位×8位乘法器功能仿真图

流动状态 软件版本 修复名称 顶层文件 器件系列

所有逻辑资源

所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型

验证结果:如图3-2-6

图3-2-6 验证结果

结论:a,b分别为8位的乘数,最大值都是255,所以最大的结果为65025。在图3-2-5中随机抽取了两种结果进行验算,计算器验算结果如图3-2-6,所得结果和仿真结果相同。所以8位×8位乘法器功能仿真是成功的。

(4)时序仿真

8位×8位乘法器时序仿真图:如图3-2-7

图3-2-7 8位×8位乘法器时序仿真图

结论:8位×8位乘法器时序仿真的结果与功能仿真结果基本相一致。所不同的是输出端的结果出现了延时情况,并且在输出之间出现了很多毛刺,但这些毛刺没有影响到输出结果。所以8位×8位乘法器时序仿真图也是成功的。

最大工作频率:500MHz 如图3-2-8

图3-2-8

延时情况:如图3-2-9

图3-2-9 延时情况

三、用数字锁相环实现分频,假定输入时钟频率为10MHz ,想要得到6MHz 的时钟信号,试用altpll 宏功能模块实现该电路。 (1)原理图设计:如图

3-3-1

图3-3-1 数字锁相环原理图

(2)综合 综合报告:如图

3-3-2

图 3-3-2

(3)功能仿真 锁相环功能仿真波形图:如图

3-3-3

图3-3-3 锁相环功能仿真图

流动状态 软件版本 修复名称 顶层文件 器件系列

所有逻辑资源

所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型

结论:时钟的周期为100ns,频率为1M。输出的周期为167ns,频率为0.598MHZ,约为

0.6MHz。输出频率为时钟频率的3/5。所以锁相环的功能成功。

(4)时序仿真

锁相环时序仿真波形图:如图3-3-4

图3-3-4 锁相环时序仿真图

结论:时序仿真图输出结果基本和图3-3-3功能仿真图一致。所不同的是输出标志段出现了微小的延时,但没有影响输出的结果。所以时序仿真图也是成功的。

Quartus提供的宏模块

Quartus II开发软件中的宏模块 一、RAM电路宏模块 RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom 二、时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器

实验心理学实验设计

实验心理学实验设计: 记忆的测量 —再认与知觉辨认 学院:教育科学学院 班级:10级应用心理学 姓名:XX 学号:XXXXXX

研究课题:内隐记忆是否存在及其与外显记忆的区别主试:XX XXX XXX等人 引言: Schacter等1980年进行了一个实验研究。首先让被试阅读一些单词,例如:assassin,octopus,avocado,mystery,sheriff,climate。一小时后,再做两次实验:首先是再认测验,被试不会有任何困难;其次是补笔测验,向被试呈现一些有字母残缺的单词,要求尽可能地将残缺字母填补上,例如:ch__nk,o_t_us,_og_y_,_l_m_te。在这次测验中,被试对其中的两个残缺单词很难做出正确解答,即chipmunk和bogeyman;而对其它单词就很容易了。这是因为在一小时以前见过octopus和climate这两个词。这种记忆被称为启动效应(priming effect)。 在实验中,Schacter等人对测验的时间间隔进行了控制,有的是在一小时后,有的是在一周后进行测试。在这两种情况下,后者对所学单词的再认,即有意识的回忆,远不如前者准确,但对于补笔测验的结果,两种情况下完全等同。这就是说,引起单词填补测验中的启动效应的,是在测验前看到这一单词所引起的某种并非自觉记忆的因素。同样有意思的是,对某一单词而言,即使被试不记得在学习阶段看到过这一单词,启动效应也会产生。事实上,不管被试记不记得在学习阶段看到过该单词,启动

效应的强度是一样的。这些研究结果似乎告诉我们:启动效应的产生不依赖于有意识的记忆。 在大量实验的基础上,Graf和Schacter于1985年提出了内隐记忆和外显记忆两个概念,内隐记忆从现象上来讲就是:被试在操作某任务时,不经有意识地回忆,存储在大脑中的信息会在操作中自动起作用。内隐记忆的特点是:被试对信息的提取是无意识的。由于内隐记忆的特征限制,要测量内隐记忆只能用间接法,而不能按照传统方法直接来测量记忆的内容。目前,测量内隐记忆的方法有知觉辨认、单词补笔等。本实验采用知觉辨认和再认的方法,以验证内隐记忆现象的客观存在,比较外显和内隐记忆测试的结果有何不同。 提出假设: 假设存在内隐记忆,那么也就存在启动效应。被试对于已经学习过的词语辨认正确率应该显著高于没有学习过的新词。实验目的: 通过实验证明存在内隐记忆,比较内隐记忆与外显记忆的不同点。 实验材料: 实验材料:纸、笔、电脑、90个汉语词语。80个汉语词语分为学习组和混淆组,每组40个。缓冲词10个对每个被试都相同,不包含在学习词中,随机呈现。

CAD宏命令

rec 空格长方形.正方形;ro 空格旋转;z 空格选中缩放 e 空格删除;ex 空格拉伸;s 空格缩放比例 z 空格e 空格> 全部显示;z 空格a 空格> 全屏缩放 z 空格p 空格>回到上一个缩放的任务;pl 空格pl 线 l 空格直线; c 空格圆; a 空格圆弧;di 空格测量直线距离li 空格整个图形信息;la 空格图层p 空格小手 z 空格空格缩放和小手;f 空格倒角;ml 空格ml 线 mirrtext 空格镜圆;dxfout 导出封闭形;AR: 阵列(array) pl 空格j 空格封闭图形;tr 空格修剪;x 空格炸开 B: 定义块;D: 尺寸资源管理器;F: 倒圆角;G: 对相组合 H: 填充;I: 插入;S: 拉伸;T: 文本输入 W: 定义块并保存到硬盘中;L: 直线;M: 移动;V: 设置当前坐标U: 恢复上一次操做;O: 偏移;F1: 获取帮助 F2: 实现作图窗和文本窗口的切换;F3: 控制是否实现对象自动捕捉 F4: 数字化仪控制;F5: 等轴测平面切换 F6: 控制状态行上坐标的显示方式;F7: 栅格显示模ro 空格旋转 ex 空格拉伸;z 空格a 空格> 全屏缩放;pl 空格pl 线 c 空格圆; a 空格圆弧;la 空格图层 f 空格倒角;dxfout 导出封闭形;tr 空格修剪;D: 尺寸资源管理器I: 插入;S: 拉伸;L: 直线;M: 移动;O: 偏移 F3: 控制是否实现对象自动捕捉面切换 F6: 控制状态行上坐标的显示方式;F式控制 F8: 正交模式控制;F9: 栅格捕捉模式控制 F10: 极轴模式控制;F11: 对象追踪式控制 Ctrl+B: 栅格捕捉模式控制(F9);Ctrl+C: 将选择的对象复制到剪切板上Ctrl+F: 控制是否实现对象自动捕捉(f3);Ctrl+G: 栅格显示模式控制(F7) Ctrl+J: 重复执行上一步命令;Ctrl+K: 超级链接;Ctrl+N: 新建图形文件 Ctrl+M: 打开选项对话框 AA: 测量区域和周长(area);AL: 对齐(align);AP: 加载*lsp程系AV: 打开视图对话框(dsviewer);SE: 打开对相自动捕捉对话框 ST: 打开字体设置对话框(style);SO: 绘制二围面( 2d solid) SP: 拼音的校核(spell);SC: 缩放比例(scale);SN: 栅格捕捉模式设置(snap) DT: 文本的设置(dtext);DI: 测量两点间的距离;OI:插入外部对相Ctrl+1: 打开特性对话框;Ctrl+2: 打开图象资源管理器;Ctrl+6: 打开图象数据原子 Ctrl+O: 打开图象文件;Ctrl+P: 打开打印对说框;Ctrl+S: 保存文件Ctrl+U: 极轴模式控制(F10);Ctrl+v: 粘贴剪贴板上的内容 Ctrl+W: 对象追踪式控制(F11);Ctrl+X: 剪切所选择的内容 Ctrl+Y: 重做;Ctrl+Z: 取消前一步的操作

C++语言程序设计实验答案_类与对象

实验04 类与对象(6学时) (第4章类与对象) 一、实验目的 二、实验任务 4_1 声明一个CPU类。 包含等级(rank)、频率(frequency)、电压(voltage)等属性,有两个公有成员函数run、stop。其中,rank为枚举类型CPU_Rank,声明为enum CPU_Rank{ P1=1, P2, P3, P4, P5, P6, P7 }; frequency为单位是MHz的整型数,voltage为浮点型的电压值。观察构造函数和析构函数的调用顺序。 4_2 声明一个简单的Computer类。 有数据成员芯片(cpu)、内存(ram)、光驱(cdrom)等,有两个公有成员函数run和stop。cpu为CPU类的一个对象,ram为RAM类的一个对象,cdrom为CDROM类的一个对象,声明并实现这个类。 4_3 声明一个表示时间的结构体。 可以精确表示年、月、日、小时、分、秒;提示用户输入年、月、日、小时、分、秒的值,然后完整地显示出来。

4_4(习题4-10)设计一个用于人事管理的People(人员)类。 考虑到通用性,这里只抽象出所有类型人员都具有的属性:number(编号),sex(性别)、birthday(出生日期)、id(身份证号)等。其中“出生日期”声明为一个“日期”类内嵌子对象。用成员函数实现人员信息的录入和显示。要求包括:构造函数和析构函数、内联成员函数、组合。 三、实验步骤 1.(验证)声明一个CPU类,观察构造函数和析构函数的调用顺序。 首先声明枚举类型CPU_Rank,例如enum CPU_Rank{ P1=1, P2, P3, P4, P5, P6, P7 },再声明CPU类,包含等级(rank)、频率(frequency)、电压(voltage)等私有数据成员,声明成员函数run和stop,用来输出提示信息,在构造函数和析构函数中也可以输出提示顺序。在主程序中声明一个CPU的对象,调用其成员函数,观察类对象的构造与析构顺序,以及成员函数的调用。程序名:。(程序见步骤2) ★程序的运行结果: 2.(示例)调试程序文件。 使用调试功能观察程序的运行流程,跟踪观察类的构造函数、析构函数、成员函数的执行顺序。参考程序如下: 操作)调试操作步骤如下: (1)单击“调试 | 逐语句”命令,系统进行单步执行状态,程序开始运行,一个DOS 窗口出现,此时Visual Studio中光标停在main()函数的入口处。

实验心理学

实验心理学考点整理 第一章 1、实验心理学:在实验控制条件下对心理能力会行为进行研究的心理学分支,是应用自然科学的实验方法研究心理规律的科学。 2、实验心理学的科学属性(1)客观性(2)验证性(3)系统性 3、获取知识信念两类方法(1)科学方法:演绎逻辑;归纳逻辑;中庸逻辑 (2)非科学方法:权威;注意凝聚;先验(ppt) 4、心理学研究方法:理论(思辨)的研究方法:理论心理学/心理学史等 现象学的研究方法:观察/个案/访谈 实证的研究方法:相关/实验 5、描述研究法:描述一种我们感兴趣的行为或现象为什么会发生。分为观察法(自然观察法与参与观察法)调查法、个案法 观察法样例:费孝通—江村经济福西—观察山地大猩猩 如何保证观察本身不会对观察结果产生影响?(反应性问题的控制) a.无干扰观察——艾布尔艾贝斯费尔特的无表情研究(调整镜头的角度) b.无干扰测量(如:课堂分析系统) 6、相关研究法a.是对两个或多个变量之间互相关系的测量b.与描述性研究方法相比,相关研究在控制、测量和统计分析更严格 c.相关研究的目的是在于证实变量之间是否存在关联性,并对其关系的强度和性质进行描述,并不解释内在原因 d.相关研究法优点:显示共变关系,提示因果关系。有因果一定有相关,有相关不一定有因果。 缺点:相关的前提必须满足;无法确定因果方向。 注:高相关不等于真的相关(可能与第三变量有关)高相关不等于因果关系推导因果关系时,相关关系是前提(因果一定相关,相关不一定因果) 低相关不等于无关系(全距和样本太少)问卷调查是因果研究,实验研究是相关研究。前者是后者的基础 第二章 1.心理学研究的规则:(1)多重条件规则;(2)避免混淆因素规;(3)则随机化规则;(4)统计检验规则;(5)使用全部数据规则 1.1多重条件规则 a.任何实验都必须不止一个条件 b.控制条件的重要属性—为自变量提供可比的基线 c.实验假设(1)含义:是对一个事件或一种行为提出的假定性解释,它预测特定前提条件会对被测量行为带来何种影响。(2)特点:精确性;合理性;可验证性(可证伪性) d.选择被试(1)含义:研究者研究的对象(2)选择被试的方法:简单随机法;系统随机法;分层随机法;等组匹配法;方便取样法;个案取样法e.实验变量的操纵与测量 f.额外变量的控制 1.2避免混淆因素规则 a.含义:不同条件之间,应该只在研究者感兴趣的因素上才有差别。

WOW宏命令使用说明

1.常用的宏命令 1.1常用的宏命令 1.1.1.释放技能命令 ●/cast 释放一个或多个技能,可以加入一些条件判断,是最常用的命令 ●/castsequence 依次释放释放数个技能,同样可以加入一些条件判断,常见于所谓的"无脑宏" ●/use 使用一个物品,可以直接用物品名称也可以用物品栏位置 1.1. 2.动作命令 ●/cancelform 取消变形 ●/cancelaura 取消状态或增益 ●/startattack 开始攻击,一般写不写差别不大,释放技能会自动开始攻击的 ●/stopattack 停止攻击,与startattack相反,用于防止破除控制等功能,也不大会用到 ●/target /targetenemy 切换目标,用到的也不多,抓贼宏会用到,更多时候需要的反而是不切换目标的情况下对特定目标释放技能 ●/mount 召唤坐骑 ●/dismount 解散坐骑 1.1.3.语言表情命令 ●/1 /2 /3 /4 在不同的频道里面说话,1综合,2交易,3本地防务,4寻求组队

●/s /y /p /ra /bg 在不同的范围说话,s说,y喊,p小队,ra团队,bg战场 ●/e 做出一串表情,同时也可以/+内置表情 1.1.4.特殊命令 ●#showtooltip 显示技能描述,可以指定技能名或是缺省,让你的界面更有可读性●/focus 指定某个目标为焦点目标 ●/clearfocus 清除焦点目标 ●/stopmacro 停止宏,可加入条件判断 ●/console /script 控制命令,抓贼宏会用到 1.2常用的条件判断 1.2.1.目标条件判断 ●help noharm 目标为友方 ●harm nohelp 目标为敌方 ●exists 目标存在 ●dead nodead 目标死亡/存活 1.2.2.自身条件判断 ●stance:1/2/3/4/5/6 form:1/2/3/4/5/6 处在某形态中,对应为 野性:1熊,2水栖,3豹,4旅行,5飞行

C++实验二类与对象(附答案)

实验二类与对象 实验目的和要求 1.掌握类、类的数据成员、类的成员函数的定义方式。 2.理解类成员的访问控制方式。 3.掌握对象的定义和操作对象的方法。 4.理解构造函数和析构函数的定义与执行过程。 5.掌握重载构造函数的方法。 6.了解拷贝构造函数的定义方法。 实验容 1.下面程序中有错,在不删除和增加代码行的情况下,改正错误语句,使其正确运行。#include class Aa { public: Aa(int i=0) { a=i; cout<<"Constructor "<

(1) #include class Date { void set_date(); void show_date(); int year; int month; int day; }; Date d; int main() { set_date(); show_date(); } void set_date() { cin>>d.year; cin>>d.month; cin>>d.day; } void show_date() { cout< class A { public: void A(int i=0) { m=i; } void show() { cout<

Quartus II开发软件中的宏模块

Quartus II开发软件中的宏模块 RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器

2006级心理学专业与应用心理学专业实验心理学(B)参考答案

2006级心理学专业与应用心理学专业实验心理学(B)参考答案

2006级心理学专业与应用心理学专业 实验心理学(B)参考答案 一、解释下列概念(每个3分,共24分) (基本含意解释清楚者给满分,不清楚者酌情扣分) 1、人工概念:当明度条件改变时,所知觉到的事物明度仍然不变。 2、部分报告法: 要求被试识记后只再现指定项目的内容。 3、动觉后效:当以一定的速度、一定的方向连续触摸物体停止以后,触摸印象仍保留在大脑中,并对以后的触摸觉发生影响。 4、期望误差:它表现为被试期望着转折点的尽快到来,在长的实验序列中给予相反判断的倾向。 5、匹配组实验设计:指按照研究的需要,有意按照一定特点来选择和分配被试,使实验组与控制组(对照组)之间的被试变量保持恒定。对两种实验条件下的实验结果进行比较,并根据结果上的差异,推断所引起的心理效应是否有差异。 6、明度常性:用实验方法模拟概念形成过所得到的概念。 7、迁移:先学习的知识或技能对学习新知识或技能所产生的影响。 8、系统误差:在实验中由于某种因素的影响,使反应变量有系统的发生变化,由此产生的误差即称为系统误差。 二、填空(每个1分,共10分) 1.统计表;统计图。 2.H0假设;H1假设。 3.外部效度;内部效度。

4.古典心理物理学;现代心理物理学。 5.对偶比较法;等级排列法。 三、回答问题(每题10分,共40分) (基本要点正确并适当解释给满分,只有要点无解释酌情扣分) 1.什么是动作技能,其形成的条件有哪些? 动作技能是指动作特别灵活、敏锐而发展成为熟练技巧。 (1)明确练习目的 有无明确的练习目的,是影响学习效率的重要因素。 (2)正确的练习方法 ①避免试误法:尽量接受前人的正确经验,少走弯路。 ②示范讲解相结合:有经验的人的动作示范、说明都带有借鉴意义。不能局限于光练不说(闷葫芦)或只说不练(嘴上的功夫)。③循序渐进(3)了解练习的结果(反馈) 了解练习结果,就是要在技能形成中利用反馈的调节作用。 2.影响反应时间的主要因素有哪些? (1)接受刺激的感官 反应时间因刺激的感官不同而不同。 (2)刺激的强度 一般来说,刺激强度大,则反应就快。 (3)机体的状态: ①适应水平 ①额外的动机

quartus中调用宏模块中文介绍

quartus -->tool -->MegaWizard Plug-In Manager 就可以进入了置于参数设置要看是什么模块了,你根据自己的需求选择就是了quarts 最后会自动生成一个你命名的这个模块文件verilog语言就是"你为这个模块起的名字.v" 你可以在工程里面找到它打开看看你只需在你的工程里面例化它就可以了就像你例化自定义模块一样。 Gate Function LPM_and : 可编程的按位做与运算的与门 LPM_bustri :可编程的三态门(可单向,也可双向) enabletr(高电平有效)使能tridata => result enabledt(高电平有效)使能data => tridata LPM_clshift : 可编程的组合逻辑移位器。方向和距离是可以编程的。 LPM_constant: 可编程常数生成器 LPM_decode : 可编程译码器 LPM_inv : 可编程反向器(位数可控) LPM_mux : 可编程多路选择器(sel选择哪路输出) busmus : 可编程2路选择器(LPM_mux的特殊情况) mux : 可编程多路选择器,只有一位输出结果(LPM_mux的宽度为1) LPM_or : 可编程的按位做或运算的或门 LPM_xor : 可编程的按位做异或运算的异或门 Arithmetic Funtions LMP_abs : 求绝对值(如果data = 1000000,overflow=1) LPM_add_sub : 可编程加减法器(可以由管脚决定) LPM_compare : 可编程的比较器(可以设定各种输出) LPM_counter : 可编程计数器 LPM_mult : 可编程乘法器 LPM_divide : 可编程除法器 divide : 可编程除法器(与LPM_divide没有什么区别) Parallel_add : 可编程多路并行加法器 altmult_accum : 可编程乘加器 altaccumulate : 可编程累加器 altmemmult : Storage Functions LPM_ff : 可编程的触发器(D触发器或T触发器) LPM_latch : 可编程的锁存器(gate=0锁存) LPM_ram_dq : 可编程的同步或异步单端口RAM(两套地址线). LPM_ram_dp : 可编程的简单双端口和真正双端口RAM(). LPM_ram_io : 可编程的RAM(地址线一套,数据线为双向的IO) LPM_rom : 可编程的ROM

作业实验类和对象基础

类和对象基础 一、选择题 1、下列哪一个不属于JAVA语言的数据类型 A)指针类型 B)类 C)数组 D)浮点类型 答案:A 2、声明类的关链字是 A)Class B)class C)void D)main

3、不属于java类中的变量的是 A)实例成员变量 B)类成员变量 C)局部变量 D)寄存器变量 答案: D 4、在Java中,一个类可同时定义许多同名的方法,这些方法的形式参数的个数、类型或 顺序各不相同,传回的值也可以不相同,这种面向对象程序特性称为 A)隐藏 B)覆盖 C)重载 D)Java不支持此特性

5、不使用static修饰符限定的方法称为实例成员方法,下列哪一个说法是不正确的A)成员方法可以直接调用父类的成员方法 B)成员方法可以直接调用父类的类方法 C)成员方法不可以直接调用其他类的成员方法 D)成员方法可以直接调用本类的类方法 答案: 6、函数重载是指 A)两个或两个以上的函数取相同的函数名,但形参的个数或类型不同 B)两个以上的函数取相同的名字和具有相同的参数个数,但形参的类型可 以不同 C)两个以上的函数名字不同,但形参的个数或类型相同 D)两个以上的函数取相同的函数名,并且函数的返回类型相同

7、下述哪个说法是不正确的 A)局部变量在使用之前无需初始化,因为有该变量类型的默认值B)类成员变量由系统自动进行初始化,也无需初始化 C)参数的作用域就是所在的方法 D)for语句中定义的变量,当for语句执行完时,该变量就消亡了答案:A 8、下列代码的执行结果是: public class Test6{ public static void main(String args[]){ int a=4,b=6,c=8; String s="abc"; } }

心理学综合实验设计系统产品说明

心理学综合实验设计系统产品说明 一、研发背景 现如今大多数普通高等院校都已开设心理学这门专业,实验心理学课程更是一门必修的基础课程,但是由于实验心理学课程难度大、理论较复杂等问题给教学工作带来了很多困难。而传统实验仪器占地面积大、操作复杂、每台仪器只能做同一类实验,远远不能满足教学目标。为了解决这一问题,在2000年左右北京师范大学张学民教授凭借多年教学经验,将心理实验与计算机实现完美结合,倾力打造了PsychELab?心理学综合实验设计系统。本系统为教育部“实验心理学”名牌课程科研成果之一,主要应用于高等院校、师范院校教师的心理学实验教学工作及心理学专业研究工作。PsychELab?心理学综合实验设计系统通过实际操作、演示实验等内容来训练学生的理性思维,帮助其把握心理学研究的基本程式,特别是实验设计的方法,更能拓展学生的视野并提高应用研究的技能。目前有超过二百所高校与单位在使用PsychELab?心理学综合实验设计系统,它是国内开发最早,内容最全面,使用最多的心理学实验教学软件。 本系统自进入市场以来,受到了广大用户的欢迎和认可,同时我们也收到了很多宝贵的建议。根据这些建议,我们在专家指导下结合专业教学需求及高校人才培养目标,特进行了此次产品升级。本次升级新增多个经典实验和范式,实验内容更加全面;重新梳理了实验内容,结果更加精准,实验更富趣味性;完善了教学指南,使您的教学思路更加清晰。专业传承,品质升级,只为助您上好心理实验课,培养更优秀的心理实验人才! 二、软件功能 1、实验内容丰富: 满足实验心理学、认知心理学、教育心理学、普通心理学、发展心理学、工程心理学、高级心理学的实验教学需求,包括各学科实验教学参考资料、多媒体演示资料以及200多个实验内容。 2、自定义实验材料: 用户可以自己选择实验材料、定义实验参数,使实验具有更强的拓展性,增加了灵活性和实用性,理论上每个实验设计软件可以设计无数个实验。 3、实验过程标准化: 实验过程及实验数据完全由计算机程序控制和计算,保证了实验结果的精确性与可靠性。 4、实验数据查询与导出: 用户可随时对被试的详细实验数据和基本统计数据进行查询,可将实验的结果数据导出,便于用户使用SPSS、EXCEL等统计工具进行进一步实验结果分析。

实验3 类和对象

实验3 类和对象 一、实验目的 1、掌握Java语言面向对象的程序设计方法; 2、理解对象的封装概念; 3、掌握Java的类和对象的声明和使用方法 二、实验环境 1、PC微机; 2、DOS操作系统或Windows 操作系统; 3、Java sdk程序开发环境、eclipse集成环境。 三、实验内容 1. 银行账户管理 编写一个Account类模拟账户,该类包含成员变量和成员方法见下面的UML图。编写程序测试Account类:创建一个对象,其账号为123456、余额10000元、年利率3.3%。使用withdraw 方法从该账户提款2000元,使用deposit方法往该账户存款3000元,并打印余额、月利息以及账户的开户日期。 public class bank { public static void main(String[] args) { Accout test1=new Accout(123456,10000,0.033); test1.withdraw(2000);

test1.deposit(3000); System.out.println("id: "+test1.getId()); System.out.println("balance: "+test1.getBalance()); System.out.println("monthlyinterest: "+test1.getMonthlyInterest()); System.out.println("datecreated: "+test1.getDateCreated()); } } class Accout{ private int id; private double balance; private double annualInterestRate; private java.util.Date dateCreated; public Accout() { id=0; balance=0; annualInterestRate=0; dateCreated=new java.util.Date(); } public void deposit(int i) { // TODO自动生成的方法存根 } public Accout(int newid,double newbalance,double newannualInterestRate) { id=newid; balance=newbalance; annualInterestRate=newannualInterestRate; dateCreated=new java.util.Date(); } public int getId() { return id; } public double getBalance() { return balance; } public double getannualInterestRate() { return annualInterestRate; } public void setId(int newid) { id=newid; } public void setBalance(double newbalance) { balance=newbalance; }

应用心理学专业 实验心理学下作业

《实验心理学》(下学期)作业 本课程作业由两部分组成。第一部分为“客观题部分”,由15个选择题组成,每题1分,共15分。第二部分为“主观题部分”,由简答题和论述题组成,共15分。作业总分30分,将作为平时成绩记入课程总成绩。 客观题部分: 一、选择题(每题1分,共15题) 1. 对物理刺激感受的最低强度的感受能力被称为( C )。 A. 绝对感受性 B. 绝对感觉阈限 C. 相对感受性 D. 差别感觉阈限 2. 在测定阈限的过程中,由被试本人调整刺激变化的方法是( D )。 A. 极限法 B. 最小变化法 C. .恒定法 D. 平均误差法 3. 对于某个特定的感觉来说,差别阈限与标准刺激强度的比例是一个常数,这个定律被称为( C )。 A. 幂函数定律 B. 对数定律 C. 韦伯定律 D. 斯蒂文斯定律 4. 不属于经典的心理物理学方法是( D )。 A. 极限法 B. 平均误差法 C. 恒定刺激法 D. 信号检测论法 5. 《心理物理学纲要》(1960)的著者为( B )。 A. Wundt B. Fechner C. Ebbinghaus D. Skinner

6. 在心理物理学实验中,被试在递增刺激序列中坚持报告“无”,在递减序列中坚持报告 “有”,在这种情况下,主要产生的实验误差类型为( B )。 A. 期望误差 B. 习惯误差 C. 空间误差 D. 动作误差 7. 不仅有相等单位,而且有绝对零点的量表为( B )。 A. 等距量表 B. 比率量表 C. 顺序量表 D. 命名量表 8. 当闪光的频率增加到一定程度时,人眼就不再感到是闪光,而感到是一个完全稳定的或 连续的光,这种现象被称作( C )。 A. 颜色互补 B. 聚光灯效应 C. 闪光融合 D. 闪光凝聚 9. 在检测论法实验中,如果被试对出现的信号判断为没有信号,则这类反应为( B )。 A. 击中 B. 漏报 C. 正确否决 D. 虚报 10. 在一项雷达实验中,共要求雷达判断180次,其中无信号(N)100次,有信号(SN) 80次。而雷达对无信号刺激判断为80次无信号,20次有信号;对有信号的刺激判断为60次有信号,20次无信号,则雷达的击中率为(A)。 A. 60/80 B. 20/60 C. 80/100 D. 80/180 11. 在心理学的反应时实验中,反应时指的时间段为( C )。 A. 有机体执行反应的时间。 B. 刺激呈现的时间。 C. 刺激施于有机体之后到明显反应开始所需要的时间。 D. 刺激与刺激间隔的时间。

实验3 类与对象

实验3 类与对象 一、实验目的 本实验的目的用于指导读者掌握Java语言面向对象的程序设计方法,理解对象的封装等概念,要求读者在调试实例程序后,总结出面向对象的事务定义以及以对象的形式进行封装等内容。 二、实验内容 1、课本P221,8-6 和课本P237,8.1题 2、编写一个名为“复数”的类,包含复数的实部和虚部(数据成员),以及复数之间的基本算术运算:加、减(方法成员),并要求复数加减运算,程序运行中能对给定的复数进行运算,并打印运算的结果。 3、用类来描述游戏角色的交手次数、经验值、生命值之间的关系,并断定角色决斗的胜负。 三、实验要求 1、加减运算能够接收不同类型的参数既可以实现复数与实数的加减、复数与复数的加减运算。 2、两游戏角色决斗。角色1交手次数+1,生命值-1,经验值+2;角色2交手次数+1,生命值-2,经验值+3。经验值每增加50时,生命值+1;生命值<0判为负。生命值初始为1000,经验值初始为0。 3、给定二个不同的角色,判定交手的胜负关系。 4、实验报告给出决斗结果和交手次数 5、实验报告给出所有源代码。 四、实验环境 1、PC微机; 2、DOS操作系统或 Windows 操作系统; 3、Eclipse程序集成环境。 五、实验步骤 内容一: 1、创建“复数”类Complex,定义实部、虚部成员 2、定义构造函数接收二个double参数用来初始化数据成员

3、定义二个复数运算函数plus()以及minus()各接收一个复数类型以实现复数与复数的加减运算。 4、定义二个复数运算函数plus()以及minus()各接收一个double类型以实现复数与与实数的加减运算。 4、定义一个打印方法。 5、在main()方法中创建复数对象并调用相关方法来验证。 内容二: 1、建立角色类Role,给出相应的成员,并能以生命值、经验值初始化角色对象。 2、在角色类中建立fight方法,接收一个角色类型的参数并与之“战斗”,返回胜者信息。 3、在主函数中初始化二个角色,调用fight方法。 六、测试数据 略 七、实验报告(学生完成) 实验报告应包括以下几个部分: 1、程序流程图; 2、程序的数据结构设计; 3、程序的源代码及相关注释 4、程序运行结果的分析。 八、思考题(学生完成) 1.定义一个点类“Point”。Point 类的属性有x 轴和y 轴坐标,可以通过方法setX()设置x轴坐标,方法setY()设置y 轴坐标,方法getX()获得x 轴坐标,方法getY()获得y 轴坐标。编写一个测试类PointTest 来创建Point 类的对象,测试该类。 2.编程定义一个栈类,它封装了栈数组,判断栈空方法、判断栈满方法以及进栈和出栈。

应用实验心理学习题

《应用实验心理学》导言复习题(2006-11-03 20:20:59) 分类:心理学实验研究方法教学 答疑 导言:实验心理学的发展与逻辑 一、名词解释 心理实验、实验心理学、心理物理学、自我观察法、费希纳、特、铁钦纳、构造主义心理学 二、单项选择题 1.“实验心理学”一词是()年德国著名心理学家特提出来的。 A. 1860 B. 1862 C. 1879 D. 1917 2.“实验心理学”一词是特在他的()中首先提出来的。 A. 《感官知觉理论贡献》 B. 《心理物理学纲要》 C. 《实验心理学纲要》 D. 《民族心理学》 3.采用实验方法研究心理现象开始于()。 A. 古希腊 B. 18世纪中叶 C. 19世纪中叶 D. 20世纪初 4.从()开始,生理学家、物理学家和天文学家研究了一些感知围的问题。 A. 17世纪中叶 B. 18世纪中叶 C. 19世纪中叶 D. 20世纪初 5.()年,赫尔姆霍兹用反应时间测量了神经传到的速度。 A. 1850 B. 1860 C. 1862 D. 1879 6.对于特来说,心理实验就是系统的()。 A. 观察 B. 测量 C. 实验 D. 自我观察 7.在特看来,心理学的研究对象是所谓纯粹的()。 A. 人的心理 B. 人的意识 C. 直接经验 D. 间接经验 8.特的实验法又称作()。 A. 观察法 B. 直接经验法 C. 间接经验法 D. 省实验法 9.在实验心理学建立的阶段,实验中提出了()作系统的自我观察的计划。 A. 实验者 B. 被试者 C. 研究者 D. 特

10.特坚决反对用实验方法研究()。 A. 高级的心理过程 B. 低级的心理过程 C. 感知觉 D. 反应时间 11.20世纪初,华生提出心理学要把研究重点放在()上。 A. 感知觉 B. 意识 C. 客观行为 D. 高级心理过程 12.20世纪50年代出现到70年代成为美国心理学实验研究主要方向和领域的是()。 A. 行为主义 B. 完形主义 C. 人本主义 D. 认知心理学 13.从某种意义上讲,正是随着()的诞生和发展,心理学才从哲学中独立出来成为一门独立的科学。 A. 实验心理学 B. 认知心理学 C. 生理心理学 D. 思辩心理学 14.1917年,大齐在()建立了中国的第一个心理学实验室。 A. 燕京大学 B. 高等师学堂 C. 大学 D. 东南大学 15.()年,中国心理学会的前身中华心理学会成立。 A. 1917 B. 1920 C. 1921 D. 1929 16.()年,中国的第一个心理系在成立。 A. 1917 B. 1920 C. 1921 D. 1929 三、简答或论述 1.实验法与观察法相比有什么特点? 2. 实验心理学的建立和发展经历了哪几个阶段? 3.简单评介费希纳在实验心理学史上的贡献 4 心理学物理学方法的特点和意义是什么? 5.特建立实验心理学之前的心理实验有什么特点? 6 评介特在实验心理学史上的贡献与局限性。 7.建国后中国的实验心理学经历了哪几个阶段? 8 科学方法的特征有哪些? 9.如何理解研究者的主观性?如何克服研究者的主观性? 《应用实验心理学》第1章复习题(2006-11-03 20:19:43) 分类:心理学实验研究方法教学

宏指令使用手册

EasyBuilder 500 Macro使用说明 一:文档说明 作者 : 程志刚 时间 : 2003年1月 版权所有 : 台湾威纶科技有限公司 概要 : 此文档为宏指令功能模块的使用文档,说明宏语言的语法,宏语言的使用,宏指令源程序编写的操作方法与操作顺序, 使用文档包含以下几个部分: 宏语言文本说明: 宏语言使用说明 宏指令程序与PLC的通信(包括本地地址LocalBit,LocalWord): 宏指令操作说明 有关宏指令使用的若干说明 编译错误提示 程序示例源程序 二:宏语言文本说明: 1: 常数与变量 a:常量 (1)十进制常量 (2)十六进常数 (3)单引号内的ASCII常量(字符常量) (4) 逻辑常量:True (非零),False(零) b:变量 (a)变量命名 必须以字每开头,其它字符可以是字每或数字,不得超过32个字符的长度 (b) 数据类型 (char) 字符类型 (int) 整数类型 32-bit (short) 短整数类型 16-bit (float) 浮点数类型 (bool ) 逻辑类型 2: 运算符 (1)赋值运算 赋值运算: = (2)算术运算: 加:+ 减:- 乘:*

除:/ 余:mod , (3)关系运算: 小于:< 小于等于:<= 大于:> 大于等于: >= 等于: == 不等于: <> (4)逻辑运算: 逻辑于:And 逻辑或:Or 逻辑Xor 逻辑非:Not (5)位运算: (a)移位运算 左移: << 右移: >> (b)逻辑位运算 位与运算: & 位或运算: | 位异或运算: ^ 位取反运算: ~ 3: 运算符的优先级: 表达式中执行多个操作时,每一部分都按规定的顺序计算,这种运算符间的计算次序,叫运算符的优先级 (1)同一种类运算符的优先顺序(按左到右,依次从高到低) 算术运算符 : ^ ?( * , / ) ? ( mod ) ? ( + , - ) 移位运算: 其出现的优先顺序,按在表达式中出现的次序从左到右进行处理 关系运算符 : 其出现的优先顺序,按在表达式中出现的次序从左到右进行处理 逻辑运算符 : Not ? And ? Or ? Xor, (2) 算术运算优先于位运算 位运算优先于关系运算 逻辑运算优先于赋值运算 4: 数组 (1)数组 : 只支持静态一维数组,形式为: 一维数组 : 数组名[数组的大小] 数组的下标为整数其可取值区间为0 --- 4294967295 下标最小值:零

应用实验心理学习题

应用实验心理学习题

《应用实验心理学》导言复习题(2006-11-03 20:20:59) 分类:心理学实验研究方法教学 答疑 导言:实验心理学的发展与逻辑 一、名词解释 心理实验、实验心理学、心理物理学、自我观察法、费希纳、冯特、铁钦纳、构造主义心理学 二、单项选择题 1.“实验心理学”一词是()年德国著名心理学家冯特提出来的。 A. 1860 B. 1862 C. 1879 D. 1917 2.“实验心理学”一词是冯特在他的()中首先提出来的。 A. 《感官知觉理论贡献》 B. 《心理物理学纲要》 C. 《实验心理学纲要》 D. 《民族心理学》3.采用实验方法研究心理现象开始于()。A. 古希腊B. 18世纪中叶C. 19世纪中叶D. 20世纪初 4.从()开始,生理学家、物理学家和天文学家研究了一些感知范围内的问题。 A. 17世纪中叶 B. 18世纪中叶 C. 19世纪中叶

D. 20世纪初 5.()年,赫尔姆霍兹用反应时间测量了神经传到的速度。 A. 1850 B. 1860 C. 1862 D. 1879 6.对于冯特来说,心理实验就是系统的()。 A. 观察 B. 测量 C. 实验 D. 自我观察 7.在冯特看来,心理学的研究对象是所谓纯粹的()。 A. 人的心理 B. 人的意识 C. 直接经验 D. 间接经验 8.冯特的实验法又称作()。 A. 观察法 B. 直接经验法 C. 间接经验法 D. 内省实验法 9.在实验心理学建立的阶段,实验中提出了()作系统的自我观察的计划。 A. 实验者 B. 被试者 C. 研究者 D. 冯特10.冯特坚决反对用实验方法研究()。 A. 高级的心理过程 B. 低级的心理过程 C. 感知觉 D. 反应时间 11.20世纪初,华生提出心理学要把研究重点放在()上。 A. 感知觉 B. 意识 C. 客观行为 D. 高级心理

相关主题
文本预览
相关文档 最新文档