当前位置:文档之家› Quartus提供的宏模块

Quartus提供的宏模块

Quartus提供的宏模块
Quartus提供的宏模块

Quartus II开发软件中的宏模块

一、RAM电路宏模块

RAM宏模块

宏模块名称功能描述

csdpram 参数化循环共享双端口RAM

lpm_ram_dp 参数化双端口RAM

lpm_ram_dq 参数化RAM,输入/输出端分离

lpm_ram_io 参数化RAM,输入/输出端公用一个端口

FIFO宏模块

宏模块名称功能描述

csfifo 参数化循环共享FIFO

dcfifo 参数化双时钟FIFO

scfifo 参数化单时钟FIFO

lpm_fifo 参数化单时钟FIFO

lpm_fifo_dc 参数化双时钟FIFO

ROM的设计

lpm_rom

二、时序电路宏模块

触发器

宏模块名称功能描述

lpm_ff 参数化D或T触发器

lpm_dff 参数化D触发器和移位寄存器

lpm_tff 参数化T触发器

enadff 带使能端的D触发器

expdff 用扩展电路实现的D触发器

7470 带预置和清零端的与门JK触发器

7471 带预置端的JK触发器

7472 带预置和清零端的与门JK触发器

7473 带清零端的双JK触发器

7474 带异步预置和异步清零端的双D触发器

7476 带异步预置和异步清零端的双JK触发器

7478 带异步预置、公共清零和公共时钟端的双JK触发器

74107 带清零端的双JK触发器

74109 带预置和清零端的双JK触发器

74112 带预置和清零端的双JK时钟下降沿触发器

74113 带预置端的双JK时钟下降沿触发器

74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器

74172 带三态输出的多端口寄存器

74173 4位D型寄存器

74174 带公共清零端的16进制D触发器

74174b 带公共清零端的16进制D触发器

74175 带公共时钟和清零端的4D触发器

74273 带异步清零端的8进制触发器

74273b 带异步清零端的8进制触发器

74276 带公共预置和清零端的4JK触发器寄存器74374 带三态输出和输出使能端的8进制D触发器74374b 带三态输出和输出使能端的8进制D触发器74376 带公共时钟和公共清零端4JK触发器

74377 带使能端的8进制D触发器

74377b 带使能端的8进制D触发器

74378 带使能端的16进制D触发器

74379 带使能端的4D触发器

74396 8进制存储寄存器

74548 带三态输出的8位两级流水线寄存器

74670 带三态输出的4位寄存器

74821 带三态输出的10位总线接口触发器

74821b 带三态输出的10位D触发器

74822 带三态反相输出的10位总线接口触发器74822b 带三态反相输出的10位D触发器

74823 带三态输出的9位总线接口触发器

74823b 带三态输出的9位D触发器

74824 带三态反相输出的9位总线接口触发器74824b 带三态反相输出的9位D触发器

74825 带三态反相输出的8位总线接口触发器74825b 带三态输出的8进制D触发器

74826 带三态反相输出的9位总线接口触发器74826b 带三态反相输出的8进制D触发器

锁存器

宏模块名称功能描述

lpm_latch 参数化锁存器

explatch 用扩展电路实现的锁存器

Inpltch 用扩展电路实现的输入锁存器

nandltch 用扩展电路实现的SR(非)与非门锁存器norltch 用扩展电路实现的SR或非门锁存器

7475 4位双稳态锁存器

7477 4位双稳态锁存器

74116 带清零端的双4位锁存器

74259 带清零端、可设定地址的锁存器

74279 4路SR(非)锁存器

74373 带三态输出的8进制透明D锁存器

74373b 带三态输出的8进制透明D锁存器

74375 4位双稳态锁存器

74549 8位二级流水线锁存器

74604 带三态输出的8进制2输入多路锁存器

74841 带三态输出的10位总线接口D锁存器

74841b 带三态输出的10位总线接口D锁存器

74842 带三态输出的10位总线接口D锁存器

74842b 带三态输出的10位总线接口D反相锁存器

74843 带三态输出的9位总线接口D锁存器

74844 带三态输出的9位总线接口D反相锁存器

74845 带三态输出的8位总线接口D锁存器

74846 带三态输出的8位总线接口D反相锁存器

74990 8位透明读回锁存器

计数器

宏模块名称功能描述

lpm_conter 参数化计数器(仅限FLEX系列器件)

gray4 格雷码计数器

unicnt 通用4位加/减计数器,可异步设置、读取、清零和级联的左/右移位寄存器16cudslr 16位2进制加/减计数器,带异步设置的左/右移位寄存器

16cudsrb 16位2进制加/减计数器,带异步清零和设置的左/右移位寄存器

4count 4位2进制加/减计数器,同步/异步读取,异步清零

8count 8位2进制加/减计数器,同步/异步读取,异步清零

7468 双10进制计数器

7469 双12进制计数器

7490 10/2进制计数器

7492 12进制计数器

7493 4位2进制计数器

74143 4位计数/锁存器,带7位输出驱动器

74160 4位10进制计数器,同步读取,异步清零

74161 4位2进制加法计数器,同步读取,异步清零

74162 4位2进制加法计数器,同步读取,同步清零

74163 4位2进制加法计数器,同步读取,同步清零

74168 同步4位10进制加/减计数器

74169 同步4位2进制加/减计数器

74176 可预置10进制计数器

74177 可预置2进制计数器

74190 4位10进制加/减计数器,异步读取

74191 4位2进制加/减计数器,异步读取

74192 4位10进制加/减计数器,异步清零

74193 4位2进制加/减计数器,异步清零

74196 可预置10进制计数器

74197 可预置2进制计数器

74290 10进制计数器

74292 可编程分频器/数字定时器

74293 2进制计数器

74294 可编程分频器/数字定时器

74390 双10进制计数器

74393 双4位加法计数器,异步清零

74490 双4位10进制计数器

74568 10进制加/减计数器,同步读取,同步和异步清零

74569 2进制加/减计数器,同步读取,同步和异步清零

74590 8位2进制计数器,带三态输出寄存器

74592 8位2进制计数器,带输入寄存器

74668 同步10进制加/减计数器

74669 同步4位2进制加/减计数器

74690 同步10进制计数器,带输出寄存器,多重三态输出,异步清零74691 同步2进制计数器,带输出寄存器,多重三态输出,异步清零74693 同步2进制计数器,带输出寄存器,多重三态输出,同步清零74696 同步10进制加/减计数器,带输出寄存器,多重三态输出,异步清零74697 同步2进制加/减计数器,带输出寄存器,多重三态输出,异步清零74698 同步10进制加/减计数器,带输出寄存器,多重三态输出,同步清零74699 同步2进制加/减计数器,带输出寄存器,多重三态输出,同步清零

分频器

宏模块名称功能描述

Freqdiv 2,4,8,16分频器

7456 双时钟5,10分频器

7457 双时钟5,6,10分频器

多路复用器

宏模块名称功能描述

lpm_mux 参数化多路复用器

2lmux 2线-1线多路复用器

16lmux 16线-1线多路复用器

2X8mux 8位总线的2线-1线多路复用器

8lmux 8线-1线多路复用器

74151 8线-1线多路复用器

74151b 8线-1线多路复用器

74153 双4线-1线多路复用器

74157 四2线-1线多路复用器

74158 带反相输出的四2线-1线多路复用器

74251 带三态输出的8线-1线数据选择器

74253 带三态输出的双4线-1线数据选择器

74257 带三态输出的四2线-1线多路复用器

74258 带三态反相输出的四2线-1线多路复用器

74298 带存储功能的四2输入多路复用器

74352 带反相输出的双4线-1线数据选择器/多路复用器

74353 带三态反相输出的双4线-1线数据选择器/多路复用器

74354 带三态输出的8线-1线数据选择器/多路复用器

74356 带三态输出的8线-1线数据选择器/多路复用器

74398 带存储功能的四2输入多路复用器

74399 带存储功能的四2输入多路复用器

移位寄存器

宏模块名称功能描述

lpm_clshift 参数化组合逻辑移位器

lpm_shiftreg 参数化移位寄存器

barrelst 8位桶形移位器

barrlstb 8位桶形移位器

7491 串入串出移位寄存器

7494 带异步预置和异步清零端的4位移位寄存器

7495 4位并行移位寄存器

7496 5位移位寄存器

7499 带JK串入串出端的4位移位寄存器

74164 串入并出移位寄存器

74164b 串入并出移位寄存器

74165 并行读入8位移位寄存器

74165b 并行读入8位移位寄存器

74166 带时钟禁止端的8位移位寄存器

74178 4位移位寄存器

74179 带清零端的4位移位寄存器

74194 带并行读入端的4位双向移位寄存器

74195 4位并行移位寄存器

74198 8位双向移位寄存器

74199 8位双向移位寄存器

74295 带三态输出端的4位左右移位寄存器

74299 8位通用移位/存储寄存器

74350 带三态输出端的4位移位寄存器

74395 带三态输出端的4位可级联移位寄存器

74589 带输入锁存和三态输出端的8位移位寄存器

74594 带输入锁存的8位移位寄存器

74595 带输入锁存和三态输出端的8位移位寄存器

74597 带输入寄存器的8位移位寄存器

74671 带强制清零和三态输出端的4位通用移位寄存器/锁存器

三、运算电路宏模块

加法器和减法器

宏模块名称功能描述

lpm_add_sub 参数化加法器/减法器

8fadd 8位全加器

8faddb 8位全加器

7480 门控全加器

7482 2位2进制全加器

7483 带快速进位的4位2进制全加器

74183 双进位存储全加器

74283 带快速进位的4位全加器

74385 带清零端的4位加法器/减法器

乘法器

宏模块名称功能描述

lpm_mult 参数化乘法器

mult2 2位带符号数乘法器

mult24 2X4位并行2进制乘法器

mult4 4位并行2进制乘法器

mult4b 4位并行2进制乘法器

tmult4 4X4位并行2进制乘法器

7497 同步6位速率乘法器

74261 2位并行2进制乘法器

74284 4X4位并行2进制乘法器(输出结果的最高4位)74285 4X4位并行2进制乘法器(输出结果的最低4位)除法器

divide和lpm_divide

绝对值运算

lpm_abs

数值比较器

宏模块名称功能描述

lpm_compare 参数化比较器

8mcomp 8位数值比较器

8mcompb 8位数值比较器

7485 4位数值比较器

74518 8位恒等比较器

74518b 8位恒等比较器

74684 8位数值/恒等比较器

74686 8位数值/恒等比较器

74688 8位恒等比较器

编码器

模块名称功能描述

74147 10线-3线BCD编码器

74148 8线-3线8进制编码器

74384 带三态输出的8线-3线优先权编码器

译码器

宏模块名称功能描述

lpm_decode 参数化译码器

16dmux 4位2进制-16线译码器

16ndmux 4位2进制-16线译码器

7442 1线-10线BCD-10进制译码器

7443 余3码-10进制译码器

7444 余3格雷码-10进制译码器

7445 BCD码-10进制译码器

7446 BCD码-7段译码器

7447 BCD码-7段译码器

7448 BCD码-7段译码器

7449 BCD码-7段译码器

74137 带地址锁存的3线-8线译码器74138 3线-8线译码器

74139 双2线-4线译码器

74145 BCD码-10进制译码器

74154 4线-16线译码器

74155 双2线-4线译码器/多路输出选择器74156 双2线-4线译码器/多路输出选择器74246 BCD码-7段译码器

74247 BCD码-7段译码器

74248 BCD码-7段译码器

74445 BCD码-10进制译码器

奇偶校验器

宏模块名称功能描述

74180 9位奇偶产生器/校验器

74180b 9位奇偶产生器/校验器

74280 9位奇偶产生器/校验器

74280b 9位奇偶产生器/校验器

Quartus提供的宏模块

Quartus II开发软件中的宏模块 一、RAM电路宏模块 RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom 二、时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器

宏电DTUGPRS远传实例

GPRS信号监测装置调试 硬件接线图 一、ADAM-4117参数设置 1.将ADAM-4117模块右侧开关拨至INIT(配置状态); 2.打开调试软件https://www.doczj.com/doc/2a5560596.html,Utility,选择相应的串口号,右击选择Search,出现对话框(图a),点击Start,直至搜索到模块()后点击Cancel; 图a 3.点击4117(*),配置并保存相应参数,如图(b)。点击右上角的“Applychange”保存设置到模块的芯片里。 图b

二、宏电H7710GPRSDTU模块参数设置 1.断电,打开调试软件sscom32.exe,选择相应串口号,设置相应参数如图c(修改参数的波特率一直为57600); 图c 2.按住空格键,通电,直至出现图d现象; 图d 3.按照帮助指示输入“H”,出现主菜单(图e),输入“C”,再输入密码“1234”,回车,进入DTU配置(C)菜单(图f); 图e图f图g 4.输入“3”,进入“数据服务中心设置(DSC)”菜单(图g), 输入“1”,配置“DSCIP地址”, ;输入“2”,配置“DSC域名”; (注:若已配置固态IP地址,则无需配置域名,即配置域名时按回车键即可;若使用动态IP地址,则将IP地址设为0.0.0.0,域名改为相应的域名地址) 输入“3”,配置“DSC通讯端口”,端口号自己定义,但必须与读取时端口号设置一致;

输入“4”,配置“DNSIP地址”, ,一般设为主站的DNSIP地址;输入“r”,保存设置输入Y或者N。 5.输入“4”进入“用户串口设置”菜单(图h) 图h图i 输入“1”,配置波特率(图i),一般采用9600bps,故输入“4”,再输入“r” 返回菜单; 输入“2”,配置数据位,一般设为8; 输入“3”,配置校验位,一般设为无校验位,故输入“1”,再输入“r”返回菜单; 输入“4”,配置停止位,一般设为1; 输入“r”,返回主菜单。 6.输入“5”,进入“特殊选项设置”菜单(图j) 图j 输入“6”,配置“通讯协议选择(透明0/DDP协议1)” ,一般选择透明,故输入“0”; 输入“7”,配置“网络连接方式(UDP0/TCP1)” ,一般采用TCP连接方式,故输入“1”; 输入“r”,再输入“r”返回主菜单。

Quartus II开发软件中的宏模块

Quartus II开发软件中的宏模块 RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器

宏电DTU参数配置

宏电DTU的参数配置和与DEMO的连接测试 一、DTU的参数配置与下载 1、RS232接口的DTU接线原则:232母头连接线的RXD连接DTU的RS(B-);TXD连 接DTU的TX(A+)。GND接地一定要跟电源的GND相连接。否则通讯不上。 2、RS485接口的DTU接线原则:485+接A+;485-接B-。 3、配置DTU参数的时候一定要把IP设定为公网IP,另外端口映射一定要正确。 4、具体的DTU参数配置如下图所示: 图1.1 在图1中的DSC连接类型中选择UDP连接方式。IP地址设定为公网IP。

在图2中的本地IP也不需要进行设置。 图1.3

在图8中的本地端口和DNS地址不需要配置选择系统默认的就行。 图1.5

图1.6 图1.7

图1.8 配置注意问题: 1、配置过程中一定要注意IP地址是设定公网IP,并且端口号得映射也一定要与本机电脑 在路由器上的映射端口号相一致,否则就会出现连接不上的现象。 2、在配置好参数向DTU下载的过程中一定要先把DTU断电,点击“连接”之后再给DTU 上电,才能连接成功。连接成功之后,点击“全选”然后再点击“设置”就可以把配置好的参数下载到DTU中去。 二、DTU与DSC_DEMO的连接设置 DTU演示系统与DTU的连接过程中,也要对其进行参数设置,否则无法连接成功。具体的 需要设置的参数为:“设置”,如下图所示

图2.1 图2.2 在图2.2中“指定IP”前面一定不能选,服务类型选择UDP,启动类型:自动启动。 图2.3 按照上面的步骤配置完成后,先点击“启动服务”然后再开启DTU电源,连接成功后显示如下的界面。

quartus中调用宏模块中文介绍

quartus -->tool -->MegaWizard Plug-In Manager 就可以进入了置于参数设置要看是什么模块了,你根据自己的需求选择就是了quarts 最后会自动生成一个你命名的这个模块文件verilog语言就是"你为这个模块起的名字.v" 你可以在工程里面找到它打开看看你只需在你的工程里面例化它就可以了就像你例化自定义模块一样。 Gate Function LPM_and : 可编程的按位做与运算的与门 LPM_bustri :可编程的三态门(可单向,也可双向) enabletr(高电平有效)使能tridata => result enabledt(高电平有效)使能data => tridata LPM_clshift : 可编程的组合逻辑移位器。方向和距离是可以编程的。 LPM_constant: 可编程常数生成器 LPM_decode : 可编程译码器 LPM_inv : 可编程反向器(位数可控) LPM_mux : 可编程多路选择器(sel选择哪路输出) busmus : 可编程2路选择器(LPM_mux的特殊情况) mux : 可编程多路选择器,只有一位输出结果(LPM_mux的宽度为1) LPM_or : 可编程的按位做或运算的或门 LPM_xor : 可编程的按位做异或运算的异或门 Arithmetic Funtions LMP_abs : 求绝对值(如果data = 1000000,overflow=1) LPM_add_sub : 可编程加减法器(可以由管脚决定) LPM_compare : 可编程的比较器(可以设定各种输出) LPM_counter : 可编程计数器 LPM_mult : 可编程乘法器 LPM_divide : 可编程除法器 divide : 可编程除法器(与LPM_divide没有什么区别) Parallel_add : 可编程多路并行加法器 altmult_accum : 可编程乘加器 altaccumulate : 可编程累加器 altmemmult : Storage Functions LPM_ff : 可编程的触发器(D触发器或T触发器) LPM_latch : 可编程的锁存器(gate=0锁存) LPM_ram_dq : 可编程的同步或异步单端口RAM(两套地址线). LPM_ram_dp : 可编程的简单双端口和真正双端口RAM(). LPM_ram_io : 可编程的RAM(地址线一套,数据线为双向的IO) LPM_rom : 可编程的ROM

实验3 宏功能模块的应用

实验3 宏功能模块的应用 实验目的:熟悉Quartus Ⅱ的宏功能模块的应用。 实验工具:Quartus Ⅱ8.0 实验步骤: 工程设计步骤: 实验内容: 一、采用Quartus II 软件的宏功能模块lpm_counter 设计一个模为60的加法计数器,进行编译和仿真,查看仿真结果。 (1)原理图设计:如图 3-1-1 图3-1-1 模60加法计数器 (2)综合 模60加法计数器:如图 3-1-2 图 3-1-2 模60加法计数器综合报告 流动状态 软件版本 修复名称 顶层文件 器件系列 所有逻辑资源 所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型

(3)功能仿真 模60加法计数器功能仿真波形图:如图3-1-3 图 3-1-3 模60加法计数器功能仿真 结论:图3-3宏功能模块中从上到下有以下几个引脚:异步清零端,时钟使能端,时 钟,同步置数端使能端,计数使能端,计数方向,置数输入端,输出端,进位输出端。 每个端口功能如图3-3解释,每个端口都实现了理论中的功能,所以功能仿真成功。 (4)时序仿真 模60加法计数器时序仿真波形图:如图3-1-4 图 3-1-4模60加法计数器时序仿真 结论:图3-4中时序仿真的引脚与图3-3相同,每个引脚实现的功能与图3-3相一致。 所不同的是在时序仿真中输出出现了微小的延时,并且输出之间出现了许多细小的毛刺,在进位输出端也出现了一个明显的毛刺。不过整体仿真的结果是正确的。 最大工作频率:310.37MHz 如图 3-1-5 图 3-1-5 最大工作频率

延时情况: 注:tsu(建立时间),th(保持时间),tco(时钟至输出延时),tpd(引脚至引脚延时)tpd(引脚至引脚延时) tsu(建立时间) tco(时钟至输出延时)

宏电H7710DTU-GPRS远传实例

宏电H7710DTU-GPRS远传实例

GPRS信号监测装置调试 硬件接线图 一、ADAM-4117参数设置 1.将ADAM-4117模块右侧开关拨至INIT (配置状态); 2.打开调试软件https://www.doczj.com/doc/2a5560596.html, Utility,选择相应的串口号,右击选择Search,出现对话 框(图a),点击Start,直至搜索到模块()

后点击Cancel; 图a 3.点击4117(*),配置并保存相应参数,如图(b)。点击右上角的“Apply change”保存设置到模块的芯片里。 图b 二、宏电H7710 GPRS DTU模块参数设置 1.断电,打开调试软件sscom32.exe,选择相应串口号,设置相应参数如图c(修改参

数的波特率一直为57600); 图c 2.按住空格键,通电,直至出现图d现象; 图d 3.按照帮助指示输入“H”,出现主菜单(图e),输入“C”,再输入密码“1234”,回车,进入DTU配置(C)菜单(图f);

图 e 图 f 图g 4.输入“3”,进入“数据服务中心设置(DSC)”菜单(图g), 输入“1”,配置“DSC IP地址(公网 地址,http//:192.168.1.1)”, ;输入“2”,配置“DSC 域名”;

(注:若已配置固态IP地址,则无需配置域名,即配置域名时按回车键即可;若使用动态IP 地址,则将IP地址设为0.0.0.0,域名改为相应的域名地址) 输入“3”,配置“DSC 通讯端口”,端口号自己定义,但必须与读取时端口号 设置一致; 输入“4”,配置“DNS IP地址”, ,一般设为主站的DNS IP地址;输入“r”,保存设置输入Y或者N。 5.输入“4”进入“用户串口设置”菜单(图h)

Quartus II中的宏模块

宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器 74175 带公共时钟和清零端的4D触发器 74273 带异步清零端的8进制触发器 74273b 带异步清零端的8进制触发器

quartus宏功能模块介绍

----------------------------------------------------- RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器 74175 带公共时钟和清零端的4D触发器 74273 带异步清零端的8进制触发器

宏电模块设置步骤(最终版)

宏电模块设置步骤 第一步:双击软件启动图标,运行软件。 第二步:软件运行后,会出现设置界面 第三步:在设备断电的情况下,点击界面上打开串口的按钮,再点击连 接。 第四步:给设备上电。 第五步:输入密码1234 备注:如显示连接超时或不通,检查通讯串口线及端口是否开通。 第六步:选择配置列表里的移动服务设置。

。 第七步:将配置项里的服务代码及接入点名称勾选并填写正确。 第八步:选择配置列表里的DTU设置。 第九步:将配置项里的DTU标示设置为SIM卡的号码

第十步:选择配置列表里的通道1 第十一步:将配置项里的DSC IP、DSC通讯端口、连网方式勾选并分别设置为公网固定IP,所开通的侦听端口及TCP-CLT 第十二步:点击配置列表里的通道1下的高级配置 第十三步:勾选配置项里的启用DDP协议,并选择“是” 第十四步:点击配置列表下的串口设置

第十五步:勾选配置项里的选择并将波特率设置为9600,数据位设置为8,停止位设置为1,校验位设置为无,流控制设置为无。 第十六步:点击界面上方配置按钮 第十七步:当出现配置成功的提示后,点击保存后再点击关闭串口 的按钮或直接点击关闭串口的按钮均可。 第十八步:重启设备即重新开关机设备一次。 第十九步:查看通讯模块指示灯是否正常闪烁。 第二十步:断电取下连接线,与设备重新连接后再供电,让设备正常运行。 注意事项: 1.sim卡要放置在通讯模块中。 2.禁止热插拔任何一条通讯线及sim卡 模块不上线的原因如下: 1.sim卡欠费停机或未开通GPRS业务。 2.该地区移动公司变更业务导致。 3.天线未露出机箱外。 4.该地区信号强度极弱或无信号。

quartus宏功能模块

RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器

宏电GPRS-DTU_H7210配置说明-整理

宏电GPRS-DTU_H7210配置说明 一、应用宏电H7000系列管理工具软件,配置DTU方法如下: 1.硬件连接,配置DTU时(以RS—485为例),11和12端子接电源,2和3 端子接232/485协议转换器的485端的D-/B和D+/A,232端通过USB/232转换器接入电脑的USB口。 2.DTU配置:打开H7000系列管理工具软件,参数设置如下: 初始连接DTU设备时,“连接设置”菜单中,波特率选择57600,数据位8,校验位None,停止位1。配置完后,点击“连接设备”,看连接设备是否成功。连接成功后,如下: 通道1:与DSC的通讯方式1:UDP+DDP DSC的端口1:5003(与组态王默认的端口号一直) DCS的域名1:若无固定IP,花生壳等域名解析软件申请的上位机固 定域名(有免费试用的,还有付费使用的,可自行选 择)。 运行参数:DTU身份识别码:可填写为手机号码(手机SIM卡必须可以访问 2G的网络) 调试信息:选INFO时,打开“命令交互”窗口,自动检测设备连 接状态,及信号强弱:信号强弱以0—31数值表示, 数值越大,信号越好。 “交互命令”检测没问题后,要把调试信息改为“OFF”。 RTU连接设置参数:波特率9600,数据位8,校验位:无,停止 位1,与PLC的Modbus参数相同即可。 注意:参数配置完后,要点击“设置参数”,待参数设置成功后,要点击“重 启设备”,待设备重启后,“交互命令”窗口才有效。 3.上位机要运行花生壳等域名解析软件,并保证域名解析正确。 上位机通过路由器上公网,需要做端口映射,进入路由器设置界面,在虚拟服务器内,做端口映射(5003),另外需要把上位机的IP地址设置为静态IP,如下图:

组态王通过宏电GPRS DTU与S7-200(modbus协议)通讯

组态王通过宏电GPRS DUT连接S7-200测试过程 一、简介 对于远程数据监控的系统,目前组态王提供了多种网络监控方式。其中,基于中国移动公司移动服务网络的GPRS(通用分组无线业务)服务或中国联通公司的CDMA(码分多址)服务,组态王提供了一种无线远程数据交换解决方案。采用此种数据交换方案,用户需要为计算机申请公网IP地址或域名及现场设备上连接支持GPRS或CDMA服务的DTU模块,适合于有移动网络覆盖的远程的数据采集系统。 目前组态王软件支持包括深圳宏电、深圳倚天、厦门桑荣、唐山蓝迪、北京艺能、北京汉智通、台湾尉普、福州利事达、上海蓝峰、福建实达、北京爱立信、北京欧特姆、航天金软、力创LQ-8200、实达TCP、嘉复欣、蓝天顶峰(NETJET)等厂家的DTU模块。 本文主要以组态王软件与宏电GPRS DTU模块采用GPRS方式与西门子 PLC设备进行通信为例讲解此方案的实现方法。 (有关宏电DTU产品的详细情况请咨询宏电公司。) 二、软硬件环境 Window XP(SP2)操作系统 Kingview6.53(组态王) 宏电GPRS DTU H7710模块 S7-200西门子PLC CPU 222CN 移动电话卡(开通GPRS功能全球通卡) 三、宏电GPRS DTU配置: 要使用DTU模块进行通信,首先必须进行DTU设备的配置,使其适合工作环境。 1.DSC DEMO 设置 调试DTU 需要在中心启动DSC DEMO 程序,用于向DTU 发送和接收从DTU 发来的数据,它的运行操作界面如下:

点击服务设置,出现如下图界面: 服务类型:UDP 和TCP 协议选择(根据用户对DTU 协议的设置选择,本例以UDP 为例);服务端口:DSC DEMO 侦听端口(1~65535),用户自定义设置(本例以5007 为例); 指定IP:该功能在PC 具有多IP 地址时使用,用于设置接收数据和发送数据所用IP 地址;(如果中心有多IP 的情况下,不指定IP 地址,有可能导致DTU 无法和DSC DEMO 建立连接。) 设置完毕后点击确定按钮,点击启动按键,如下图界面所示:

FPGA中两种对宏功能模块例化的方法

两种对宏功能模块例化的方法 ——Block Editor和MegaWizard Plug-In Manager 最近在用FPGA做历年的电赛的题目。由于我们第一个实验题目为“简易逻辑分析仪”,其中涉及到对波形采样的存储要用到ROM,这需要使用宏功能模块定制LPM_RAM,所以对宏功能模块例化进行了学习。下面针对DE0实验板就两个比较简单的例子做一个详细的说明。 在Block Editor中直接例化 实验:LPM_counter定制的4位计数器。 一、在已经建好的工程中新建一个block diagram/schematic File文件。 二、在block diagram中双击空白部分,在对话框中的Name中输入lpm_counter即得到如下的对话框;

三、单击OK出现lpm_counter的定制,依次单击next对以下对话框进行设置 选取V erilog HDL 语言和文件名

输出宽度为4位 使能以及进位设置

同步或是异步的清除、装载、置位 定制完后的预览,点击Finish 完成设计,即可以调入元件

四、完成剩下的原理图,如下: 五、绑定管脚,进行编译下载,完成实验。 使用MegaWizard Plug-In Manager调用宏功能 实验:正弦信号发生器 一、定制初始化数据文件(mif或者是hex文件,这里详细介绍mif) 建立MIF文件,单击OK

设置数据个数为64点数据位宽为8位。单击OK 在表格中填入64个地址分别对应的数值(位宽为8位)完成后保存 二、定制LPM_ROM元件 在Tools菜单中选择MegaWizard Plug-In Manager,产生如下对话框 分别有创建、编辑、拷贝等功能,单击Next

Quartus II软件中的“宏模块”注释

Quartus II软件中的“宏模块”注释 存储器宏模块RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom 时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器

lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器 74175 带公共时钟和清零端的4D触发器 74273 带异步清零端的8进制触发器 74273b 带异步清零端的8进制触发器 74276 带公共预置和清零端的4JK触发器寄存器

浅析宏电新版本DTU配置方法

浅析宏电新版本DTU配置方法 黄锐,蓝天飞,任玮颖 (湖北省十堰市气象局,湖北十堰 442000) 摘要:我省区域自动气象站的数据传输采用的是移动网络GPRS方式,数据传输的核心部件DTU的参数配置正确与否直接影响其通信状态。针对DTU生产厂家对DTU升级后采用了新的配置方法,本文介绍了DTU升级后新的配置方法与原有配置方法的区别,详细介绍了配置软件的的操作步骤,对配置参数时需要注意的选项进行了介绍,并和原有的配置方法进行了对比。 关键词:DTU;配置;方法 引言 深圳市宏电技术股份有限公司生产 DTU(Data Transfer Unit)是一款基于 GPRS/GSM网络的无线 DDN(Digital Data Network)的数据通信产品[1]。其广泛应用在我省的高山自动气象站、区域自动气象站、应急车载便携式自动气象站等设备上,DTU的配置参数使用超级终端工具进行配置。然而,从2015年开始,深圳市宏电技术股份有限公司对DTU进行了升级,新型号为H7118C-V59、WUSH8118,模块参数的配置方法有了改变,不能使用原有的超级终端进行配置,需要用专用的配置软件对通信模块进行参数设置。因此,本文对使用配置软件对新版本通信模块进行参数配置时,配置方法与原有使用超级终端配置DTU的方法进行了区分,对配置参数时的异同之处进行了介绍,对需要着重注意的地方进行了说明,解决因厂家DTU升级后气象技术保障人员对新版本DTU配置方法不熟练、参数配置不正确而导致DTU不上线的问题。 1 宏电DTU版本的查询与配置工具的准备 深圳市宏电技术股份有限公司生产的宏电DTU的版本信息可在DTU背部查询(见图1)。D54、V56等原版本DTU均为2008年、2012年生产,生产日期显示在条形码最下端。新版本DTU V59、WUSH8118均为为2015年后生产,它的配置方法与原版本DTU使用超级终端工具的配置方法不同,新版本的DTU采用专用的DTU配置软件“DTU工具盒”来对模块的参数进行配置。 图1 原版本模块参数(a)、新版本模块参数(b)

相关主题
文本预览
相关文档 最新文档