当前位置:文档之家› 数电大作业流水灯

数电大作业流水灯

数电大作业流水灯
数电大作业流水灯

综合设计题

一.流水灯

1.总体思路

8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制

2.使用元件

3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。

3.电路原理框图

4.元器件在本电路中的主要功能

○1555定时器

555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而

可以改变灯亮时间,而且它的振荡周期为T=0.7

(R1+2R2)C。此处C=0.1uF.由电路参数可知,当

R1为10kΩ时,灯亮时间为0.0014s.它的功能主

要由两个比较器决定。两个比较器的输出电压控制

RS 触发器和放电管的状态。在电源与地之间加上

电压,当 5 脚悬空时,则电压比较器 C

的同相

1

的反相输入端的电

输入端的电压为 2VCC /3,C

2

压为VCC /3。若触发输入端 TR 的电压小于VCC /3,

的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈

则比较器 C

2

值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C

1

的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。电

的输出为 0,C

2

路图如下:

○274LS161计数器

74LS161计数器在本电路中的作用是产生000-111脉冲控制

74LS138的A

2A

1

A

,依次选通Y

-Y

7

。产生脉冲序列也可以用74LS191是

四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,

此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择

74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲序号,时钟

脉冲外部接入,原理图如下图所示

○374LS138译码器

74LS138译码器在本电路中的作用主要是选通指示灯发光。它的输出端为一

个低电平,经过取反之后可以得到一个高电平,从而控制灯的亮灭。它的工作原

理是:①当一个选通端(E

1)为高电平,另两个选通端E

2

和E

3

为低电平时,可将

地址端(A

0、A

1

、A

2

)的二进制编码在Y

至Y

7

对应的输出端以低电平译出。举例

说明:如果A

2A

1

A

=001,那么Y

1

输出0,其余输出1,经过取反之后Y1为1,其余

为0,因此只剩下与Y1相连的指示灯亮,其余灯不亮。因为要控制八位指示灯循

环点亮,则需要一系列脉冲序列,使得A

2A

1

A

电平发生变化。即依次选通Y

-Y

7

脉冲从000-111。

○44511芯片驱动器

4511芯片驱动器是一种常用的七段数码管译码器驱动器,使输入的二进制数在数码管上以十进制数显示,主要驱动共阴数码管,其引脚图如下图所示。

○5共阴极数码管

在本电路中的作用主要是显示目前是几号灯亮,便于观测。其原理图如下:

采用4511七段显示译码器,显示第几个灯在闪烁,信号从A

0A

1

A

2

A

3

输入,

a,b,c,d,e,f,g,分别接数码管的ABCDEFG,连接数码管和4511还需要限流电阻220数码管驱动电路如下

5.整体电路仿真及结果分析

○1电路仿真

用逻辑分析仪对译码器输出端进行高低电平显示,得到以下结果:

○2结果分析

Y0-Y7输出波形如上图所示,由于Y0-Y7高低电平的变化,所以指示灯会闪烁变化,但必须脉冲频率最好在1KHz以下,以便人眼能够识

别,计数器产生000-111脉冲输入74LS138的输入端,实现Y

0-Y

7

的选通,

从而实现上述功能,每个灯亮的时间为0.014s。

6.不同的思路的流水灯电路

因为流水灯每次只有一个灯亮,且亮灯的方向是逐步移动有规律的。

所以还可以考虑用74LS194移位寄存器来实现,每个灯亮的时间为1s。

电路图如下:

示波器图形如下:

二.交通灯控制器

本电路设计一个十字路口交通灯控制电路,东西方向车道和南北方向车道两条交叉掉路上的车辆交替运行,每次通行的时间设置为24秒。在绿灯转为红灯时,要求黄灯先亮4秒钟,才能变换运行车道。可用LED模拟交通灯。用倒计时时显示每个状态的时间。

1.总体思路

电路有四个状态:东西绿南北红→东西黄南北红→东西红南北绿→东西红南北黄,一共有四个状态循环,所以可以先用一个模4计数器来转换这四个状态。

2.使用元件

十进制加减计数器76LS190,四位二进制计数器74LS163,2—4译码器

74LS139,数码管若干,少量的或非门,与非门和非门电路。

3.各个单元电路的功能与分析

○174LS163四位二进制计数器

这里采用74LS163构成模4计数器,状态由00 →01 →10 →11循环。L1(东西绿):当00时亮,其他状态时不亮。

L2(东西黄):当01时亮,其他状态时不亮。

L3(东西红):当10、11时亮,00、01时不亮。

L4(南北绿):当10时亮,其他状态时不亮。

L5(南北黄):当11时亮,其他状态时不亮。

L6(南北红):当00、01时亮,10、11时不亮。

电路可以这样实现:

其中,74LS139是2-4译码器,它的功能表如下:

所以,Y0~Y4口接上非门后可以控制L1、L2、L4、L5。

L3=B,L6=B,所以将L3直接连在1B端,将1A端加非门与L6相连。

通过这样的连接便可以实现这四个状态的循环。

○274LS190十进制加减计数器

由于要实现倒计时显示,所以可以采用两片74LS190,该芯片是十进制加减法计数器。通过网络找出一下功能表。

由于要实现倒计时,所以U/D端输入高电平,此时计数器进行减计数。

用两片74LS190,通过RC端进行异步级联,

由于红灯28秒,黄灯4秒,绿灯24秒,所以各状态及持续时间如下:

状态1:东西绿南北红(00):24秒

状态2:东西黄南北红(01):4秒

状态3:东西红南北绿(10):24秒

状态4:东西红南北黄(11):4秒

当状态转变时,给计数器置数即可。两片计数器一个作为十位,一个作为个位。“24”相当于给计数器置0010 0100,“4”相当于给计数器置0000 0100。电路连接如下:

当QA~QD全为0的时候,Rc端输出0,两个计数器的Rc端连在一个或非门上,当为全0时,或非门输入1,将这个输出连接到74LS163的时钟端,即倒计时到0000 0000时,立即转化状态,并且通过一个非门使两片74LS190立即置数,转入下一个转态的倒计时。

○3电路的显示部分:

4.整体电路仿真及结果分析

○1整体电路仿真

○2结果分析

经过仿真,我们观察到与预想结果一摸一样,说明电路完全正确。计数器保证了倒计时的准确性,而且通过调节脉冲的频率,就可以改变数码管计数的快慢。LED控制电路又可以清楚地观察到红绿灯的变化,而且还可以检测电路的准确性,经过检查,发现时间与理论时间一样,十分正确。

电大作业

江苏开放大学实践性环节考核作业 学号 姓名杨杰 课程代码 110056 课程名称中国政治思想史 评阅教师 第次任务 共次任务 江苏开放大学 请同学们完成一篇读书报告。 首先请同学们学习第十二章第一节林则徐的有关内容,完成一篇关于林则徐学习西方思想的读书报告。 题目自拟。 字数不能少于800字。 格式要求: 题目:四号楷体。 正文:小四号宋体。 关于林则徐学习西方思想的读书报告 今天学习有关林则徐的章节,深为林则徐的精神所吸引。 1840年是中国近代史的开端,也就是从这个时候开始,伴随着西方帝国主义国家大举入侵中国,西方资产阶级的民主政治思想和文化开始大批传入中国。经过鸦片战争

的打击,大清帝国的进步官员和知识分子们,开始放眼看世界,林则徐等一批官员和知识分子开始关注西方资本主义的政治制度,军事技术等,并著作了一批介绍西方地理、政治军事制度的书籍《海国图志》《瀛环志略》等。这当中包括西方政治制度中的选举制,三权分立制等民主制度,这些都是近代中国民主思想发展的开端。 由于鸦片战争的惨痛教训,清政府开始寻求救亡图存的政策。而鸦片战争中西方帝国主义国家的坚船利炮让清政府触动最大,也就是在这背景下,清政府内的洋务派在全国各地掀起的“师夷之长技以制夷”的学习西方的改良运动。林则徐魏源等人进一步的对西方的民主制度进行了宣传并开始大量翻译外国书籍,包括政治,法律、科技、文史等各方面,开始广泛学习西方的活动。具体如下: 一、林则徐学习西方思想产生背景 二、当世界资本主义迅速发展的时候,中国还是一个封建社会,处在满族建立的清王朝的统治下。封建专制主义统治下的中国,在乾、嘉以后,衰败日剧,闭关更甚。 三、清政府的闭关锁国,阻碍了中国造船航海业的发展,阻碍了国内手工业的扩大和商品经济的发展,姐碍了资本主义萌芽的成长。而且闭关政策进一步助长了清朝统治集团置身于世界局势之外安于现状顽周保守的虚骄心理,使清代的政治更加腐败。 四、这个时期的林则徐,也和闭关时代其他开明进步分子一样,尽管对中外关系和外国情形有所触及,但严密的闭关政策和闭目塞听的风气,使他对外部世界的认识不可避免的也同样受到严重的局限。但林则徐的可贵之处,就在于他发现自己的知识不足后,没有装腔作势,反而正视现实。勇于放下架子,探求新知。他在中华民族和西方资本主义侵略势力的矛盾斗争的强烈刺激下,适应救亡图存的形式需要,由经世派而成为“开眼派”,并进而成为“学习派”,实现从开眼看现实到开眼看世界再到学习西方的连续飞跃。林则徐之所以和顽固分子不同,而且高于其他开明进步的爱国者,实现思想的连续飞跃,还有其主观因素: 五、第一,把民族自尊建立在比较科学的基础上。实事求是的作风和勇于批判现实的精神,使他有可能通过睁眼现实,比较正确的认清国情,看到“天朝上国”的腐朽与落后。 六、第二,把抵抗外国侵略建立在比较科学的基础之上。寻求“制夷之策”和富强之道的迫切愿望,使他有可能通过开眼看世界,对西方的侵略性与先进性有比较全面的了解,从而勇于抛弃夜郎自大的传统观念。 七、第三,具有敢于“触讳”、追求真理的勇气和精神。 八、二、林则徐学习西方思想的主要内容 九、林则徐认识到,要有效抵抗西方侵略,必须学习西方。发出了像西方学习的先声。在中国近代史上,第一次组织翻译班子,不拘一格,选拔熟悉外情的人才,有计划地,大规模地搜集和编译外国书报。 十、林则徐把西方各国作为一面镜子,已经参照出中国非惟在地理方位上不在世界的中心,特别是在国力方面也并不是万国来朝的中央大国。在中国近代,坦率而明确地承认西方先进和中国落后的是从林则徐开始,这是艰难而珍贵的第一步。一个国家和民族,能够认识自己不容易,反省自己的落后更不容易,特别是在中国对于自己有一种“世界中心”感觉的传统氛围中做到这一点,更是加倍地艰难。林则徐如实承认中国在船炮武器方面“不如夷”了,但却没有因此而悲观气馁、甘居下游。他认识到,当时的军事侵略不是来自比自己落后的民族,而是来自拥有船坚炮利的西方先进国家。甚至在赴戍伊犁的途中,他还念念不忘建立一支“器良、技熟、坦壮、心齐。”的新式水师。 十一、由于对世界各国的基本情况和国际关系有比较具体了解,他有效地利用了美、法两国与英国在对华贸易方面存在的矛盾,利用了英美两国尤其是英国内部均有人

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

流水灯实验报告综合二

实验名称:流水灯的实验设计与制作班级100713 学号07 姓名张凯瑜指导教师庞涛 一、实验目的: 1.增进对单片机的感性认识,加深对单片机理论方面的理解。 2.掌握单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口、串行口通讯等。 3.了解和掌握单片机应用系统的软/硬件设计过程、方法及实现,为以后设计和实现单片机应用系统打下良好基础。 二、实验原理:

原理图说明:该设计选用一块STC89C52型单片机,使用其P1口和P3口的部分。P1口作为发光二极管的控制信号输出端,P3.2作为按钮K1外部中断0的信号输入口,P3.3为按钮K2外部中断1的信息输入口,P3.4作为K3信息输入口。单片机晶振频率为11.0592MHz,方便在线下载程序调试。电源使用5v 直流电,其中R11、C6为K1键硬件消抖(但效果不太理想,所以在软件中也做了软件消抖)。 三、实验设备: 电烙铁、万用电表、斜口钳、剥线钳、镊子、电池座、导线若干,所需元件清单: 四、实验方法与步骤: (1)认识各种元件,了解各元件的功能和使用方法。 (2)根据实验原理设计实验电路图和焊接图,并多次进行修正。

(3)按照实验焊接图在洞洞板上进行焊接。 焊接时在覆铜一面进行焊接,没有覆铜的一面用来放置元件。焊接时先对MCU座定好位,焊好对角两个角,然后焊接电源电路,按键电路,再复位和晶振电路,最后焊接LED 部分。 (4)插上编写好程序的单片机,实现“流水灯”效果。 五、实验测量与记录: 功能说明:本设计一共可以显示5种花样 k1键:切换显示花样k2键:暂停显示k3键:继续显示 流水灯——正面无单片机时 流水灯——正面有单片机时

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

单片机花样流水灯设计实验报告

**大学 物理学院 单片机花样流水灯设计实验 课题:花样流水灯设计 班级: 物理 *** 姓名: *** 学号: ……………

当今时代的智能控制电子技术,给人们的生活带来了方便和舒适,而每到晚上五颜六色的霓虹灯则把我们的城市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯的方法有很多种,有传统的分立元件,由数字逻辑电路构成的控制系统和单片机智能控制系统等。本设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于MS-51的单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件组成的以AT89C51为核心,辅以简单的数码管等设备和必要的电路,设计了一款简易的流水灯电路板,并编写简单的程序,使其能够自动工作。 本设计用AT89C51单片机为核心自制一款简易的花样流水灯,并介绍了其软件编程仿真及电路焊接实现,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管

1. 单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机的基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定时/计数器及终端系统等)全部集成在一个半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多的元件数据库、标准化的仿真仪器、直观的捕获界面、简洁明了的操作、强大的分析测试、可信的测试结果, 为电子工程设计节约研发时间,节省了工程设计费用。利用Protues软件设计一款通过数码管显示计数时间的流水灯电路及Keil C软件编程后,再将两者关联则可以简单快速的进行仿真。 【实验设计目标】 设计要求以发光二极管作为发光器件,用单片机自动控制,对8个LED 灯设计至少3种流水灯显示方式,每隔20秒变换一次显示花样,计时通过一个二位七段数码管显示。

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

单片机实验报告

PIC单片机原理与应用实验报告 学校: 学院: 班级: 姓名: 学号: 指导教师:

实验一I/O端口实验 一、实验目的 (1)掌握MPLAP IDE集成开发环境的基本操作。 (2)掌握单片机的I/O端口的设计方法。 (3)掌握在线调试器的使用方法。 (4)学会查阅相关数据手册。 二、实验仪器设备 (1)PC机一台; (2)MPLAP IDE开发软件一套; (3)PICkit3在线调试器一套; (4)APP009实验板一块; 三、实验要求 (1)设计发光LED灯闪烁程序,下载调试,验证功能。 (2)设计流水灯程序,或其他花样彩灯程序,下载调试,验证功能。 (3)设计按按键加1计数程序,下载调试,验证功能。 四、实验步骤 (1)连接在线调试器PICkit3、APP009实验板和计算机; (2)打开MPLAP IDE集成开发环境软件,点击Debugger>Select Tools>PICkit 3 选择调试工具; (3)点击Debugger>Settings,在Settings窗口中点击Power栏,选择由PICkit3向实验板供电; (4)完成实现发光LED灯闪烁实验; 程序代码: #include void delay(void); int main() { while(1) { TRISEbits.TRISE0 = 0; //RE0设置为输出(1输入,0输出); https://www.doczj.com/doc/7417901287.html,TE0 =1; //RE0=1输出高电平+5V,亮灯 delay(); //延时 https://www.doczj.com/doc/7417901287.html,TE0 =0; //RE0=0输出低电平0V,灭灯 delay(); //延时 } } void delay(void) { long int i; for (i=0;i<65000;i++); } 实验现象:将程序下载到实验板上,运行程序,LED闪烁,通过改变延时函数改变延时时间,进而可以改变LED闪烁的频率。

花样流水灯实验报告

黄淮学院信息工程学院 单片机原理及应用课程设计性实验报告

五、硬件电路设计 根据设计任务,首先进行系统硬件的设计。其硬件原理图由LED显示电路和单片机最小系统组成,如图所示,其中包括时钟电路采用部时钟方式,复位电路采用上电自动复位。由于单片机的I/O口的高电平驱动能力只有微安级,而灌电流可以达到3毫安以上,因此采用低电平驱动。P1、P2、P3分别控制8个led灯。 六、软件程序设计 1、软件设计思路 如果通过上图所示电路图完成实验要求,通过数组,分别同时控P0、P1、P2分别控制8个led灯,从而协调控制24个灯实现花样流水灯效果。 开始 编写数组 主循环 逐个点亮 24灯同时闪烁 逐个熄灭

P3=table1[i]; delayms(500); } shan();//全部闪烁 for(i=0;i<8;i++)//逐个熄灭{ P3=table2[i]; delayms(500); } for(i=0;i<8;i++) { P1=table3[i]; delayms(500); } for(i=0;i<8;i++) { P0=table2[i]; delayms(500); } } } void delayms (uintt) { uint x,y; for(x=t; x>0;x--) for(y=50;y>0;y--); } 七、软硬件仿真调试分析 1、仿真调试结果

图片 1 逐个点亮图片 2 24灯闪烁 图片 3 逐个熄灭 2、性能测试及结果分析 通过仿真结果发现通过上述系统可以实现实验要求,24个灯逐个点亮,24个灯全亮后,24个灯一起闪烁,闪烁5次后,然后24个灯逐个熄灭。由此证明系统满足实验要求。 八、项目总结 在本次花样流水灯试验中,使用循环程序、数组语句实现了实验要求,设计过程中遇到了很多的问题,但经过努力,最终设计出了合理的解决方案。通过此次实验,对多个led灯的控制能力进一步得到提升。 九、项目设计报告成绩 实验报告成绩: 指导教师签字: 年月日

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

数电大作业——数字抢答器

图 1 数字抢答器框图 数字抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。 (4)设计时序控制电路。 3 设计过程 3.1方案论证 数字抢答器总体方框图如图1所示。 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能: 一是分辨出选手按键的先后,并锁存优先抢答者的编 号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 工作过程:开关S 置于“清除”端时,RS 触发器的R 端均为0,4个触发器输出置0,使74LS148的ST =0,使之处于工作状态。当开关S 置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S 5),74LS148的输出,010012=Y Y Y ,0=EX Y 经RS 锁存后,1Q=1,BI =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q =1,使74LS148ST =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的,1=EX Y 此时由于仍为1Q =1,使ST =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。 (74 LS148为8线—3线优先编码器,表2.1为其真值表,图2.3为逻辑图。)

数电实验——广告流水灯

第五次实验报告 第五次实验要求学生完成如下任务: 广告流水灯: 用时序期间、组合器件和门电路设计一个广告流水灯,该流水灯由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移, 1)写出设计过程,画出设计的逻辑电路图,按图搭接电路 2)验证实验电路的功能 3)将1秒连续脉冲信号加到系统时钟端,观察并记录时钟脉冲CP、触发器的输出端 Q2、Q1、Q0的波形 实验5.1 一、实验原理图 设ZZ0ZZ1ZZ2ZZ3ZZ4ZZ5ZZ6ZZ7分别为8个灯的输出段,由题意得卡诺图如下: 触发器输出端输出端 QQ2QQ1QQ0ZZ0ZZ1ZZ2ZZ3ZZ4ZZ5ZZ6ZZ7 0 0 0 0 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 通过74161对时钟脉冲进行计数输出,利用74138进行译码输出。

实验原理图: 二、实验目的 广告流水灯: 用时序期间、组合器件和门电路设计一个广告流水灯,该流水灯由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移, 1)写出设计过程,画出设计的逻辑电路图,按图搭接电路 2)验证实验电路的功能 将1秒连续脉冲信号加到系统时钟端,观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0的波形 三、实验器材 1.实验材料 74HC161、74HC138、面包板、发光二极管1KΩ电阻和导线 2.实验仪器 口袋实验室 四、实验步骤 1.按上图所示原理图在面包板上连接好实物图 2.连接pocketlab,引脚7接时钟,引脚0~2分别接触发器输出端QQ0QQ1QQ2,观察逻辑 分析仪波形及小灯泡的亮灭情况。

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

LED灯实验报告

mcs-51单片机接口技术实验 适用:电气类专业本科学生 实验报告 实验一熟悉proteus仿真模拟器,led花样表演 一、实验目的 掌握以下方法: 1.在proteus的环境下,设计硬件原理图; 2.在keilc集成环境下设计c51语言程序; 2.在proteus的环境下,将硬件原理图与软件联接仿真运行。 二、实验环境 1.个人微机,windows操作系统 2.proteus仿真模拟器 3.keilc编程 三、实验题目 基本题:使用8051的并口带动8个led发光二极管显示一种花样表演。提高题:使用一个键切换实现3种以上花样表演。 四、实验类型: 学习、模仿与简单设计型。 五、实验步骤: 0、进入isis,先选择需要的元件,然后设计电原理图,保存文件; 1、在keilc软件集成环境下编写源程序,编译工程文件; 2、将所设计的硬件原理图与目标代码程序相联接; 4、按play键,仿真运行程序。 附,可能用到的元件名称: cpu:at89c51或任一种mcs-51家族cpu; 晶振:crystal; 电容器:capacitors,选22pf 电解电容:cap-elec或genelect10u16v 复位电阻:minres10k 限流电阻:minres330r 按键:button led:led-blue/red/yellow或diode-led (一)接线图如下: (二).基础花样 (四)程序流程图 (五)c程序 #include <> #define uint unsigned int #define uchar unsigned char const tab1[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f, /*正向流水灯*/ 0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0xff,};/*反向流水灯*/ const tab2[]={0xff,0x00,0xff,0x00,0xff,0x00,}; void delay() { uint i,j; for(i=0;i<256;i++) for(j=0;j<256;j++)

单片机实验报告

南京晓庄学院电子工程学院 实验报告 课程名称:单片机系统设计与应用 姓名:森 专业:电子信息科学与技术 年级:14级 学号:05 2016年12 月1 日

实验项目列表 序号实验项目名称成绩指导教师 1 单片机仿真软件的使用 2 单片机I/O接口应用实验——流水灯 3 外部中断实验——工业顺序控制模拟 4 定时/计数器实验——矩形波 5 定时/计数器实验——计数器 6 综合实验 7 8 9 10 注: 1、实验箱端口为com6。 2、芯片选择切换到51 3、停止运行使用实验箱上的复位按钮

实验室号:___ 实验时间:成绩: 实验一仿真软件的使用 1.实验目的和要求 1)熟悉Keil C51软件界面,以及编辑、编译、运行程序的步骤; 2)掌握单片机仿真软件使用和调试的方法。 2.实验原理 Keil C51软件使用 在Keil C51集成开发环境下,建立一个工程并编辑源程序,熟悉Keil C51集成开发环境下各种菜单、命令的使用。 3.主要仪器设备(实验用的软硬件环境) 安装有Keil C51软件的PC机1台 4.操作方法与实验步骤 Keil C51软件使用 (1)建立用户文件夹 (2)建立工程 (3)建立文件并编码。输入以下源程序,并保存在项目所在的目录中 (4)把文件加入工程中 (5)编译工程。编译时观察在界面下方的“Build”页中的到编译错误信息和使用的系统资源情况等。 (6)调试。利用常用调试命令,如复位、运行、暂停、单步、单步跳过、执行完当前子程序、运行到当前行、下一状态、打开跟踪、观察跟踪、反汇编窗口、观察窗口、代码作用范围分析、1#串行窗口、内存窗口、性能分析、工具按钮等命令进行调试,观察并分析调试结果。 (7)目标代码文件的生成。运行生成相应的.HEX文件。 5.实验内容及程序 1)从DATA区地址起始地址为40H的连续10个内存单元的内容传送到XDATA区起始地址为2000H的10个内存单元中。 注意:DATA区地址起始地址为40H的连续10个内存单元必须先赋初值。 P83-5源程序 #include #define uchar unsigned char xdata unsigned char buffer1[10]_at_ 0x2000; //在xdata区定义数组变量BUF1,首地址为2000H data unsigned char buffer2[10]_at_ 0x40; //在data区定义数组变量BUF2,首地址为40H void main(void)

数电大作业流水灯

数电大作业流水灯-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (+2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控 制 RS 触发器和放电管的状态。在电源与地之间加 上电压,当 5 脚悬空时,则电压比较器 C1的同相 输入端的电压为 2VCC /3,C2的反相输入端的电压 为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2的输出为 0, 可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1的输出为 0,C2的输出为 1, 可将 RS 触发器置 0,使输出为 0 电平。电路图如下:

○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲 序号,时钟脉冲外部接入,原理图如下图所示 ○374LS138译码器

51单片机实训报告

“51单片机”精简开发板的组装及调试实训报告

为期一周的单片机实习已经结束了。通过此次实训,让我们掌握了单片机基本原理的基础、单片机的编程知识以及初步掌握单片机应用系统开发实用技术,了解“51”单片机精简开发板的焊接方法。同时培养我们理论与实践相结合的能力,提高分析问题和解决问题的能力,增强学生独立工作能力;培养了我们团结合作、共同探讨、共同前进的精神与严谨的科学作风。 此次实训主要有以下几个方面: 一、实训目的 1.了解“51”精简开发板的工作原理及其结构。 2.了解复杂电子产品生产制造的全过程。 3.熟练掌握电子元器件的焊接方法及技巧,训练动手能力,培养工程实践概念。4.能运用51单片机进行简单的单片机应用系统的硬件设计。 5.掌握单片机应用系统的硬件、软件调试方法 二、实验原理 流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的硬件组成的单个单片机。 它的电气性能指标:输入电压:DC4.5~6V,典型值为5V。可用干电池组供电,也可用直流稳压电源供电。 如图所示: 本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的硬件组成的单个单片机。 三、硬件组成 1、晶振电路部分 单片机系统正常工作的保证,如果振荡器不起振,系统将会不能工作;假如振荡器运行不规律,系统执行程序的时候就会出现时间上的误差,这在通信中会体现的很明显:电路将无法通信。他是由一个晶振和两个瓷片电容组成的,x1和x2分别接单片机的x1和x2,晶振的瓷片电容是没有正负的,注意两个瓷片电容相连的那端一定要接地。 2、复位端、复位电路 给单片机一个复位信号(一个一定时间的低电平)使程序从头开始执行;一般有两中复位方式:上电复位,在系统一上电时利用电容两端电压不能突变的原理给系统一个短时的低电平;手动复位,同过按钮接通低电平给系统复位,时如果手按着一直不放,系统将一直复位,不能正常。当要对晶体重置时,只要对此引脚电平提升至高电平并保持两个及其周期以上的时间便能完成系统重置的各

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

单片机流水彩灯课程设计

课程名称:单片机课程设计 设计题目:流水灯彩灯设计 学院:应用技术学院 专业:电子信息工程信息方向

目录 一、实训的目的 (3) 二、实训的基本要求 (3) 三、电路基本工作原理 (4) 四、组装过程及技巧 (5) 五、软件设计及程序清单 (5) 六、心得体会 (11) 一、实训的目的 通过具有一定功能和应用价值的一个具体产品的设计与制作,或

者一个实际项目的开发与应用,使学生受到工程设计、制造工艺、调试检测和撰写技术报告的系统训练,启迪我们的创新思维,培养我们分析问题和解决问题的综合能力。通过实训使我们巩固、加深和学习光电子技术的基础理论、基本知识和技能技能。使我们正确地选择和使用常用电工仪表、电子仪器及有关实验设计。使我们掌握基本电量及电子元件的测试技术、实验方法和数据的分析处理。使我们能应用已学的理论知识设计简单的应用电路,合理选择元器件构成实用的电子小系统。使我们受到基本的实验技能、系统的工程实践和撰写技术报告的初步训练。培养我们严肃认真、实事求是、独立思考、踏实细致的科学作风和创新的精神。 二、实训的基本要求 要求: 1. 该流水灯设置12个发光二极管,两个按键K1和K2。 2. 上电后,12个LED灯全亮 3. 两个按键一个用于流水灯的启动和停止,另一个用于选择流水灯的花样。 4.“流水”的花样不得少于两种,越多越好。 三、电路图及其基本工作原理 该电路由2个30PF的电容和一个晶震组成的,其中晶振接在18和19引脚。这个作用是为单片机提供一个正常的工作时钟频率

单片机40引脚接+5V的电源,20引脚接地。使用510Ω电阻和发光二极管组成12条支路,分别对应连接单片机的P1口12个。才用共阳极接法40段接上5V的外加电压,通过单片机的P1口控制输出高电平还是低电,当电压为低电平的时候,二极管发光。 电路图如下: 四、组装过程及焊接技巧 (1)材料:松香、焊锡。焊接时最常用的焊料是焊锡。松香焊剂是一种可靠的焊剂,它在电路维修和电子制作中应用广泛。将焊接的

相关主题
文本预览
相关文档 最新文档