当前位置:文档之家› 匹配滤波器

匹配滤波器

匹配滤波器
匹配滤波器

匹配滤波器

通信原理

课程设计报告

题目最佳接收机的仿真与实现学院电子信息工程学院专业电子信息工程,本, 学生姓名邓慧学号 200910312316 年级 2009级指导教师石琳职称副教授

程序(实物) 设计报告工作态度总成绩评阅人设计评阅日期 (40分) (20分) (100分) 签字 (40分)

课程设计任务书

课程设计题目最佳接收机的仿真与实现

通信原理课程设计是系统性的设计和实验。通过课程设计

将学生从理论学习的轨道逐步引向实际应用,把理论上熟

悉的定性分析、定量计算逐步和工程估算、实验调整等手课程设计目的段结合起来,掌握工程设计的步骤和方法,了解科学实验

的程序和实施方法,为以后毕业设计和从事通信系统的实

际工作打下基础。

课程设计所需环境计算机,matlab,Max+plus II

最佳接收机是通信系统中最复杂的模块之一,只有概念明

确、思路清晰,才能完成相应的工程实现。熟悉最佳接收

机理论,掌握最佳接收机的实现,并能够使用该理论来分

析和解决工程中的实际问题是通信工程师必须掌握的基本课程设计任务要求功。本课程设计就要求学生完成最佳接收机的仿真与实现。

学生需在分析匹配滤波器的原理的基础上,给出相应的软

件实现和仿真结果,并对结果进行分析,得出相应结论,

同时应独立撰写完整的课程设计报告。

课程设计工作进度计划

时间工作内容备注

接受任务书,老师讲解设计任务和要求星期一

查阅资料,分析任务,拟定方案星期二

完成matlab仿真和程序调试,撰写报告同学间可自由讨星期三论交流

完成VHDL仿真和程序调试,撰写报告答疑星期四

完善报告和调试,准备老师的提问星期五

学生签名: 指导教师签字: 年月日

系主任签字: 年月日

I

基于匹配滤波器的最佳接收机设计

【摘要】本文重点提出了数字通信系统中用匹配率波器实现最佳接收机的实现方案。文章首先分析了匹配滤

波器的原理、特点,并阐述了用匹配滤波器实现最佳接收机的实现方案。在此基础上论文分别从matlab、vhdl

两个方面分析设计了最佳接收机的实施办法。

本文首先使用matlab软件实现匹配滤波器的的设计,在仿真工具simulink中通过设置参数,产生二进

制随机输入数据进行分析设计,在不同信噪比情况下进行性能比较。并通过对直接采样判决器和匹配滤波器

输出误码率相比较,来判断最佳接收机的性能。

在vhdl语言中使用max+plus II软件,通过编写程序、波形仿真来实现最佳

接收机的功能。在vhdl语言

中,本文采用的是QPSK(正交相移键控)四进制数字信号作为输入信号,进行分析设计。最后通过输入输

出的仿真波形比较此最佳接收机的性能。

本文从matlab,vhdl等多个方面分析设计,并具体的实现了最佳接收机的实

施方案。

【关键词】匹配滤波器,最佳接收机,matlab,vhdl

Based on the Matched filter designing the Best receiver

【Abstract】This article focuses on the implementations of the

digital communication system using the matched filter to design the best receiver . The article analyzes the matched filter principles, characteristics at first,and then Explained how tousing the Matched

filter to achieve the best receiver.the papers were from matlab, vhdl to analysised and designed the method of implementing best receiver.

In this paper, we use the matlab software to design the matched

filter at first, In Simulink, the simulation tools, by setting the parameters to produce a binary random input data to analysis, and Compare performance In the case of different signal to noise ratio. By comparing direct sampling arbiter’s and matched filter’s output bit error rates

to determine the best receiver performance.

In vhdl,we used the max + plus II software, through the preparation process, the waveform simulation to achieve the best receiver functions. In this paper, we used QPSK (quadrature phase shift keying) quaternary digital signal as a input signal to design. Finally, through the

input’s and output’s simulation waveforms to comparing the performance of the best receiver.

In this paper,we used matlab and vhdl to analysis and design,in the end we have achieved the implementation of best receiver.

【keywords】 Matched filter , Best receiver, matlab,vhdl

.

II

目录

第一章前

言 ..................................................................... ........................................................................ .. (1)

1.1 设计背景意

义 ..................................................................... .......................................................................

1

1.2 设计主要任

务 .....................................................................

.......................................................................

1

1.3 章节安

排 ..................................................................... ........................................................................ . (1)

第二章匹配滤波器与最佳接收机原

理 ..................................................................... (2)

2.1 匹配滤波器的最佳接收介绍...................................................................... .. (2)

2.2 匹配滤波器原

理 ..................................................................... . (2)

2.3 最佳接收机原

理 ..................................................................... . (4)

第三章基于simulink实现最佳接收

机 ..................................................................... (5)

3.1 simunlink介

绍 .....................................................................

.......................................................................

5

3.2 最佳接收机仿真设

计 ..................................................................... .. (6)

3.3 simulink设计

图 ..................................................................... (7)

3.4 仿真结果及分

析 ..................................................................... . (8)

第四章 VHDL语言实现最佳接收

机 ..................................................................... .. (11)

4.1 VHDL语言以及使用软件max+plus II介

绍 ..................................................................... (11)

4.2 设计分

析 ..................................................................... ........................................................................ .. (11)

4.3 实施方

案 .....................................................................

........................................................................ .. (12)

4.4 模块的分析设计及调

试 ..................................................................... .. (13)

4.4.1 信号产生模

块 ..................................................................... .. (13)

4.4.2 匹配滤波器模

块 ..................................................................... . (15)

4.4.3 顶层调用模

块 ..................................................................... .. (16)

第五章总结体

会 ..................................................................... ........................................................................ . (18)

谢 ..................................................................... ........................................................................ .. (19)

参考文

献 .....................................................................

........................................................................ (20)

附录 vhdl程

序 ..................................................................... ........................................................................ .. (21)

III

成都学院(成都大学)课程设计报告

第一章前言

1.1 设计背景意义

当今社会发展迅速,数字通信已经走入我们的生活。数字通信是用数字信号作为载体来传输消息,或用数字信号对载波进行数字调制后再传输的通信方式。它可传输电报、数字数据等数字信号,也可传输经过数字化处理的语声和图像等模拟信号。

而数字通信中必然会涉及到的有接收机,其中最佳接收机是其中主流,而基于匹配滤波器的最佳接收机也便是其中之一。

最佳接收机的匹配滤波器广泛用于雷达、声纳和通信。其作用是:一、提高信噪比。毫不夸张地说,任何电子系统都有匹配滤波或近似匹配滤波的环节,目的是提高信噪比。二、对于大时间带宽积信号,匹配滤波等效于脉冲压缩。因此可以提高雷达或声纳的距离分辨率和距离测量精度。在扩频通信中,可以实现解扩。

1.2 设计主要任务

本次课程设计主要是基于匹配滤波器的最佳接收机的设计,以QPSK信号为例理论分析了匹配滤波器的原理并用simulink和vhdl两个方面进行实现,通过程序设计、仿真、波形对其进行性能分析,并对其进行性能评价。

1.3 章节安排

本文共五章。其中本文第一章主要介绍课题背景、意义及介绍本次课程设计基本思路安排;第二章介绍匹配滤波器理论原理,及对最佳接收机的实现的分析;第三章主要阐述了在matlab平台上用simulink进行实现并仿真分析;第四章从vhdl语言用max+plusII软件出发对匹配滤波器原理进行编程实现基于匹配滤波器的最佳接收机的功能及仿真。第五章作为本文结尾主要介绍了在本次课程设计中遇到的问题及总结体会。

1

成都学院(成都大学)课程设计报告

第二章匹配滤波器与最佳接收机原理

2.1 匹配滤波器的最佳接收介绍

对于二进制数字信号,根据它们的时域表达式及波形可以直接得到相应的解调方法。在加性白高斯噪声的干扰下,这些解调方法是否是最佳的,这是我们要讨论的问题。

数字传输系统的传输对象是二进制信息。分析数字信号的接收过程可知,在接收端对波形的检测并不重要,重要的是在背景噪声下正确的判断所携带的信息是哪一种。因此,最有利于作出正确判断的接收一定是最佳接收。

从最佳接收的意义上来说,一个数字通信系统的接收设备可以看作一个判决装置,该装置由一个线性滤波器和一个判决电路构成,如图1所示。线性滤波器对接收信号进行相应的处理,输出某个物理量提供给判决电路,以便判决电路对接收信号中所包含的发送信息作出尽可能正确的判决,或者说作出错误尽可能小的判决。

图 2—1简化的接收设备

假设有这样一种滤波器,当不为零的信号通过它时,滤波器的输出能在某瞬间形成信号的峰值,而同时噪声受到抑制,也就是能在某瞬间得到最大的峰值信号功率与平均噪声功率之比。在相应的时刻去判决这种滤波器的输出,一定能得到最小的差错率。

匹配滤波器是一种在最大化信号的同时使噪声的影响最小的线性滤波器设计技术。注意:该滤波器并不

t保持输入信号波形,其目的在于使输入信号波形失真并滤除噪声,使得在采样时刻输出信号值相对于均方0

根(输出)噪声值达到最大。

2.2 匹配滤波器原理

匹配滤波器的一般表示式如图2所示。

匹配滤波器 r(t),s(t),n(t) r(t),s(t),n(t)000

h(t) H f

图2—2 匹配滤波器 2

成都学院(成都大学)课程设计报告

匹配滤波器的目的就是使下式取最大值: 2Ss(t)0()out, (2—1) 2Nn(t)0使上式取最大值的转移函数为:

,()Sf,j,t0()Hf,Ke (2—2) ,()fn

,n(f)s(t)式中,,是已知的时宽为T秒的输入信号的傅立叶变换,是输入噪声的功率谱S(f),Fs(t)

S密度PSD。K是一个任意非0实常数。t是计算时的采样时间。 out()0N 在白噪声条件下,匹配滤波器可简化描述如下:对白噪声,

,上式变为: ,(f),N/2no

jt2K,,,0H(f),S(f)e (2—3)

0N

特别是对输入的实信号波形时,有: s(t)

2Kh(t),s(t,t) (2—4) 0N0

T 上式表明匹配滤波器(白噪声情况下)的冲激响应就是已知输入信号波形反转并平移了因此称滤0

波器与信号“匹配”

1,t,t12{s(t), (2—5) 0,t为其他值

T,t,t信号时宽为。对白噪声情况,匹配滤波器的冲激响应为: 21

h(t),s(t,t),s(,(t,t)) (2—6) 00为方便起见,令C为1,,要使冲激响应可实现,要求: s(,t)

t,t 02

t,t这里采用,是因为这是满足可实现条件的最小值。要使滤波器输出最大信号值前的等待时间(即02

t,t)最小化。0

3

成都学院(成都大学)课程设计报告

2.3 最佳接收机原理

根据匹配滤波器原理可作出匹配滤波的最佳接收机。

最佳接收机框图如下

相乘积分抽样判决

x(t) 比较 t = T s1(t)

相乘积分抽样判决

t = T s0(t)

图2—3 最佳接收机

其中判决公式如下: TTx(u)s(u)du,x(u)s(u)du,10,,00若则输出为s1

TT若则输出为s0x(u)s(u)du,x(u)s(u)du,10,,00

4

成都学院(成都大学)课程设计报告

第三章基于simulink实现最佳接收机

3.1 simunlink介绍

MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且MATLAB也吸收了像Maple等软件的优点,使MATLAB成为一个强大的数学软件。在新的版本中也加入了对C,FORTRAN,C++,JAVA的支持。可以直接调用,用户也可以将自己编写的实用程序导入到MATLAB函数库中方便自己以后调用,此外许多的MATLAB爱好者都编写了一些经典的程序,用户可以直接进行下载就可以用。

其中Simulink是MATLAB中的一种可视化仿真工具,是一种基于MATLAB的框图设计环境,是实现动态系统建模、仿真和分析的一个软件包,被广泛应用于线性系统、非线性系统、数字控制及数字信号处理的建模和仿真中。Simulink可以用

连续采样时间、离散采样时间或两种混合的采样时间进行建模,它也支持多速率系统,也就是系统中的不同部分具有不同的采样速率。为了创建动态系统模型,Simulink提供了一个建立模型方块图的图形用户接口(GUI) ,这个创建过程只需单击和拖动鼠标操作就能完成,它提供了一种更快捷、直接明了的方式,而且用户可以立即看到系统的仿真结果。Simulink®是用于动态系统和嵌入式系统的多领域仿真和基于模型的设计工具。对各种时变系统,包括通讯、控制、信号处理、视频处理和图像处理系统,Simulink提供了交互式图形化环境和可定制模块库来对其进行设计、仿真、执行和测试。构架在Simulink基础之上的其他产品扩展了Simulink多领域建模功能,也提供了用于设计、执行、验证和确认任务的相应工具。Simulink与MATLAB® 紧密集成,可以直接访问MATLAB大量的工具来进行算法研发、仿真的分析和可视化、批处理脚本的创建、建模环境的定制以及信号参数和测试数据的定义。

5

成都学院(成都大学)课程设计报告

3.2 最佳接收机仿真设计

整个仿真可由随机二进制数据产生器、加性高斯白噪声信道、匹配滤波及采样判决器、直接采样判决器、示波器、比特误码计数器等六类模块构成。

二进制数据产生器根据设置参数,产生一系列二进制随机数据,一路送入加性高斯白噪声信道,另一路送入采样器,作为参考的数据;同时输出两个时钟信号,一个用于采样判决,另一个用于数据同步(比特同步)。

加性高斯白噪声信道对输入的数据混叠依据参数设置的噪声后一路送入匹配滤波及采样判决器,第二路送入直接采样判决器,第三路送入示波器供观察。

匹配滤波及采样判决器根据匹配滤波器原理,对输入信号进行匹配滤波,滤波后的模拟数据一路送入示波器显示观察,第二路送入判决器进行采样判决,以重新恢复出原始的发送端的二进制数据信号,并将该判决数据输出给比特误码计数器。

直接采样判决器直接对接收到的有噪声信号进行判决,根据判决结果恢复出原始端发送的二进制数据。该数据也送入到另外一个比特误码计数器中。

且设计包括两个比特误码计数器:一个用于统计匹配滤波器判决结果与输入信号的比特误码率,其接收输入参考信号和匹配滤波器判决输出数据,统计结果有接收比特数,错误比特数和误码率等三个;另外一个用于统计直接采样判决与输入信号的比特误码率,其同样接收输入参考信号和统计直接采样判决输出数据,统计结果有接收比特数,错误比特数和误码率等三个。

6

成都学院(成都大学)课程设计报告 3.3 simulink设计图

图4 simulink仿真设计图

设计图说明:本设计可实现数字信号在经过高斯白噪声污染后最佳的恢复。而且可以在系统上进行不同参数的设置或更改,了解高斯白噪声对接收机的影响,了解最佳接收的过程。

7

成都学院(成都大学)课程设计报告 3.4 仿真结果及分析

分别输入不同信噪比观察记录下匹配滤波器于直接采样判决器的反应数据,以及在模拟示波器的表现图

型。

表1 匹配滤波器与直接采样判决器误码性能测试

输入

信噪匹配滤波器直接采样判决器比

传输比错误比特误码率传输比特错误比特数误码率

特数数数

0 1009 247 02453 1009 468 0.4643 3 1012 162 0.1609 1012 457 0.4525 15 1004 0 0 1004 336 0.337 20 1005 0 0 1005 230 0.2307 35 1015 0 0 1015 0 0

模拟示波器仿真波形如下:

图5(a) 当s/n=0dB

8

成都学院(成都大学)课程设计报告

图5(b)当s/n=3dB

图5(c) 当s/n=15dB

图5(d)当s/n=20dB

9

成都学院(成都大学)课程设计报告

图5(e)当s/n=35dB

结果分析:在表中和图形可观察出,在低信噪比德环境中匹配滤波器的性能好于直接采样判决器。匹配滤波器能在滤波过程中提高信号的信噪比,再以此判决,所以误码率低,随着信噪比的好转,匹配滤波器与直接采样判决器性能便趋于相同,误码率也随着下降。

10

成都学院(成都大学)课程设计报告

第四章 VHDL语言实现最佳接收机

4.1 VHDL语言以及使用软件max+plus II介绍

本次课程设计中所用到的VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定

义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

Max+plus?是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus?界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus?上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。并具有以下特点:(1)、开放的界

面 ;(2)、与结构无关 ;(3)、完全集成化;(4)、丰富的设计库;(5)、模块化工具;

(6)、硬件描述语言(HDL);(7)、Opencore特征。

4.2 设计分析

根据要求,可将整个系统分为三个模块:信号生成模块,匹配滤波器模块,顶层调用模块。最后由信号端口接成一个系统。其中信号发生模块实现噪声的产生和与输入信号的相加,产生的有噪信号x作为输出进入匹配滤波器。匹配滤波器模块对信号生成模块产生的输出信号x进行匹配相乘,积分运算,再经过判决输出y。最后由顶层调用程序通过原件例化,将两个原件连接产生最佳接受的输出信号so。

在使能信号en和时钟clk信号的控制下,在有噪声影响下,输出信号依然能最佳的与输入信号相匹配则就完成了最佳接收机的设计。

本设计以QPSK为例,QPSK(正交相移键控)即四进制绝对调相4psk,即用四进制数字信息去控制

11

成都学院(成都大学)课程设计报告载波的相位,使载波相位随四进制数字信息变化。由于四进制数字信息有“00”、“01”“10”、“11”四个不同的码元,

所以载波也有四个不同的相位。为使平均误码率尽可能小,应等间隔选取四个相位,选取等间隔的相位星座图如下。

3,,相 (01) (11) 相 44

5,,7相 (00) (10) 相 44

,图 4—1 型星座图 4

4.3 实施方案

根据实验要求和设计分析可知,本实验程序中包括3个输入信号:时钟信号(clkr)、使能信号(en)和波形输入信号(si)。1个波形输出信号。

在信号发生模块中,随着时钟信号的进行产生噪声,即产生一串0,1序列,“0”代表无噪声,“1”代表有噪声。产生的噪声序列与输入信号相加。由于噪声与输入信号是模二加,则可将相加理解为当有噪声时对输入信号取反,无噪声时输出值不变。

在匹配滤波器模块中,输入的信号x是信号产生模块输出的有噪信号。本设计以QPSK为例,其四种信号波形“00”、“01、”“10”、“11”代表如下。

表4—1 QPSK信号与其匹配波形的列表

输入波形载波信号匹配波形

00(0) (1100)

01(1) (1001)

10(2) (0011)

11(3) (0110)

12

成都学院(成都大学)课程设计报告

时钟信号Clk

输入信号匹配滤波器SO有噪信号Si信号产生器

使能信号

En

图4—2 设计流程图

设计图说明:

整个系统受时钟信号和使能信号的控制,随着时钟信号的进行,信号生成模块中产生噪声与输入信号相加,产生有噪信号。产生的有噪信号进入匹配滤波器模块,与四个匹配波形相乘、积分,四个时钟后比较积分大小,输出判决结果。

4.4 模块的分析设计及调试

本实验设计过程:首先在EDA实验软件MAX+PIUS II 中新建文本文件,在文本文件中分别编辑信号产生模块程序,匹配滤波器程序和顶层文件程序,编辑完成后将此三个文本文件保存为以“.vhd”结尾的文本文件。再分别选定当前文件,在软件界面的工具栏中选择compile(编译)功能对此程序进行编译。编译完成后再在MAX+PLUS II 软件界面中分别新建波形文件,载入已编译文件后对程序进行仿真,绘制波形。

在整个电路中,使能信号EN控制信号的复位开始,当EN(高电平有效)为高电平时整个程序才能运行。同时时钟信号clk也控制这程序的运行,当时钟上升沿到来时进行信号相应变换。

4.4.1 信号产生模块

信号产生模块实现功能是在随着时钟信号的变换产生一系列噪声信号,并与输入信号相加,产生有噪信号。

部分程序如下:

. . . . . .

p1:process(clk)

通信原理课程项目报告 匹配滤波器

上海大学2012~2013学年春季学期本科生 课程项目报告 课程名称:《通信原理B(2)》课程编号: 07275129 题目: 匹配滤波器分析 学生姓名: 王子驰(组长)学号: 10124021 学生姓名: 蒋子昂学号: 10124022 学生姓名: 徐璐学号: 10124040 学生姓名: 陈张婳学号: 10123773 学生姓名: 张晨学号: 10123743 评语: 成绩: 任课教师: 评阅日期:

匹配滤波器分析 日期(2013年5月1日) 摘要:在最佳线性滤波器的设计中有一种是使滤波器输出信噪比在某一特定时刻达到最大,由此而导 出的最佳线性滤波器称为匹配滤波器。匹配滤波器对信号做的两种处理:1、去掉信号相频函数中的任 何非线性部分;2、按照信号的幅频特性对输入波形进行加权,即当信号与噪声同时进入滤波器时,它 使信号成分在某一瞬间出现尖峰值,而噪声成分受到抑制。本文介绍了匹配滤波器的原理,利用MATLAB 软件,设计了一种匹配滤波器,并对其在二进制确知信号最佳接收中的应用进行了分析。 1.引言 在数字通信系统中,信道的传输特性和传输过程中噪声的存在是影响通信性能的两个主要因素。人们总是希望在一定的传输条件下,达到最好的传输性能,最佳接收就是在噪声干扰中如何有效地检测出信号。所谓最佳是在某种标准下系统性能达到最佳,最佳接收是个相对的概念,在某种准则下的最佳系统,在另外一种准则下就不一定是最佳的。在某些特定条件下,几种最佳准则也可能是等价的。在数字通信中,最常采用的是输出信噪比最大准则和差错概率最小准则。 在数字信号接收中,滤波器的作用有两个方面,第一是使滤波器输出有用信号成分尽可能强; 第二是抑制信号带外噪声,使滤波器输出噪声成分尽可能小,减小噪声对信号判决的影响。 通常对最佳线性滤波器的设计有两种准则:一种是使滤波器输出的信号波形与发送信号波形之 间的均方误差最小,由此而导出的最佳线性滤波器称为维纳滤波器;另一种是使滤波器输出信噪比 在某一特定时刻达到最大,由此而导出的最佳线性滤波器称为匹配滤波器。在数字通信中,匹配滤 波器具有更广泛的应用。 2.课程项目的目的 (1)掌握匹配滤波器的基本概念、基本原理和基本设计方法; (2)具备对简单通信系统进行建立模型、定性分析、定量计算的能力; (3)对实验过程中存在的问题能够进行分析和排除; (4)对规定任务有一定的创新能力。 3.基本原理介绍 由数字信号的判决原理我们知道,抽样判决器输出数据正确与否,与滤波器输出信号波形和发 送信号波形之间的相似程度无关,也即与滤波器输出信号波形的失真程度无关,而只取决于抽样时 刻信号的瞬时功率与噪声平均功率之比,即信噪比。信噪比越大,错误判决的概率就越小;反之,Array 信噪比越小,错误判决概率就越大。

射频低通滤波器设计示例

射频电路设计示例 设计任务: 用两种方法设计一个输入、输出为50Ω的低通滤波器,滤波器参数为: (1) 截止频率为3Ghz (2) 在通带内,衰减小于3dB (3) 在通带外,当归一化频率为2时,损耗不小于50dB (4) 相速为光速的60% 设计要求: (1)画出滤波器的电路图。 (2)用微带线实现上述的功能,并画出微带线的结构尺寸。 (3)画出0--3.5Ghz 的衰减曲线。 (4)给出设计的源代码本,利用具体软件(如Matlab, MW- office, ADS 、HFSS 、IE3D 等)操作方法及步骤。 方法一: 切比雪夫滤波器设计: Step1: 画出滤波器的电路图。由课本(p151)知滤波器阶数应为N=5。归一化参数为:g g 514817.3==,g g 427618.0==,5381.43=g 集中参数为:4817 .35 1 == C C ,5381 .43 =C ,2296 .14 2 == L L 图1 归一化5阶低通滤波器电路原理图 Step2:将集中参数变换成分布参数(Richards 变换:电感用短路线代,电容用开路线代): g Y Y 1 51 = =,g Z Z 2 4 2 = = ,g Y 3 3 = 。

图2 (O.C =开路线,S.C=短路线) Step3:将串联线段变为并联线段—Kuroda 规则(P162表5.6)。首先在滤波器的输入、输出端口引入两个单位元件。 因为单位元件与信号源及负载的阻抗都是匹配的,所以到入它们并不 影响滤波器的特性。对第一个并联的短线和最后一个并联短线应用Kuroda 规则-1后得: 2872.12872.014817 .3112 1 =+=+ == N N , 2231.02872.14817.31 ' ' 2 1 =?= = Z Z UE UE 7769.02872 .1151=== ' ' Z Z S S

IIR数字滤波器设计原理

IIR 数字滤波器设计原理 利用双线性变换设计IIR 滤波器(只介绍巴特沃斯数字低通滤波器的设计),首先要设计出满足指标要求的模拟滤波器的传递函数)(s H a ,然后由)(s H a 通过双线性变换可得所要设计的IIR 滤波器的系统函数)(z H 。 如果给定的指标为数字滤波器的指标,则首先要转换成模拟滤波器的技术指标,这里主要是边界频率 s p w w 和的转换,对s p αα和指标不作变化。边界频率的转换关系为)21tan(2w T =Ω。接着,按照模拟低通滤波器的技术指标根据相应 设计公式求出滤波器的阶数N 和dB 3截止频率c Ω;根据阶数N 查巴特沃斯归一 化低通滤波器参数表,得到归一化传输函数 )(p H a ;最后,将c s p Ω=代入)(p H a 去归一,得到实际的模拟滤波器传输函数)(s H a 。之后,通过双线性变换法转换公式 11 112--+-=z z T s ,得到所要设计的IIR 滤波器的系统函数)(z H 。 步骤及内容 1) 用双线性变换法设计一个巴特沃斯IIR 低通数字滤波器。设计指标参数为: 在通带内频率低于π2.0时,最大衰减小于dB 1;在阻带内[]ππ,3.0频率区间上,最小衰减大于dB 15。 2) 以π02.0为采样间隔,绘制出数字滤波器在频率区间[]2/,0π上的幅频响应特 性曲线。 3) 程序及图形 程序及实验结果如下: %%%%%%%%%%%%%%%%%%

%iir_1.m %lskyp %%%%%%%%%%%%%%%%%% rp=1;rs=15; wp=.2*pi;ws=.3*pi; wap=tan(wp/2);was=tan(ws/2); [n,wn]=buttord(wap,was,rp,rs,'s'); [z,p,k]=buttap(n); [bp,ap]=zp2tf(z,p,k); [bs,as]=lp2lp(bp,ap,wap); [bz,az]=bilinear(bs,as,.5); [h,f]=freqz(bz,az,256,1); plot(f,abs(h)); title('双线性z 变换法获得数字低通滤波器,归一化频率轴'); xlabel('\omega/2\pi'); ylabel('低通滤波器的幅频相应');grid; figure; [h,f]=freqz(bz,az,256,100); ff=2*pi*f/100; absh=abs(h); plot(ff(1:128),absh(1:128)); title('双线性z 变换法获得数字低通滤波器,频率轴取[0,\pi/2]'); xlabel('\omega'); ylabel('低通滤波器的幅频相应');grid on; 运行结果: 00.050.10.150.20.25 0.30.350.40.450.500.1 0.2 0.3 0.40.50.60.70.8 0.9 1 双线性z 变换法获得数字低通滤波器,归一化频率轴 ω/2π低通滤波器的幅频相应

匹配滤波器原理

数字通信课程设计 匹配滤波器

摘要 ?在通信系统中,滤波器是重要的部件之一,滤波器特征的选择直接影响数字信号的恢复。在数字信号接收中,滤波器的作用有两个方面,使滤波器输出有用信号成分尽可能强;抑制信号带外噪声,使滤波器输出噪声成分尽可能小,减少噪声对信号判决的影响。对最佳线性滤波器的设计有一种准则是使滤波器输出信噪比在特定时刻到达最大,由此导出的最佳线性滤波器称为匹配滤波器。在数字通信中,匹配滤波器具有广泛的应用。因此匹配滤波器是指滤波器的性能与信号的特征取得某种一致,使滤波器输出端的信号瞬时功率与噪声平均功率的比值最大。本文设计并仿真了一种数字基带通信系统接收端的匹配滤波器。 一、课程设计的目的 通过本次对匹配滤波器的设计,让我们对匹配滤波器的原理有更深一步的理 解,掌握具体的匹配滤波器的设计方法与算法。 二、课程设计的原理 设接收滤波器的传输函数为)(f H ,冲击响应为)(t h ,滤波器输入码元)(t s 的持续时间为s T ,信号和噪声之和)(t r 为 )()()(t n t s t r += s T t ≤≤0 式中,)(t s 为信号码元,)(t n 为白噪声。 并设信号码元)(t s 的频谱密度函数为)(f S ,噪声)(t n 的双边功率谱密度为 2/0n P n =,0n 为噪声单边功率谱密度。 假定滤波器是线性的,根据叠加定理,当滤波器输入信号和噪声两部分时,滤波器的输出也包含相应的输出信号和输出噪声两部分,即 )()()(00t n t s t y += 由于:)()()()()()(2 * f P f H f P f H f H f P R R Y == )(f P R 为输出功率谱密度,)(f P R 为输入功率谱密度,2/)(0n f P R = ?这时的输出噪声功率0N 等于 ? ?∞ ∞ -∞ ∞ -=?=df f H n df n f H N 2 02 0)(22)( 在抽样时刻0t 上,输出信号瞬时功率与噪声平均功率之比为

有源滤波器设计实例

有源滤波器设计任务书 一、设计目的 1. 熟悉二阶有源滤波电路幅频特性和相频特性。 2. 掌握二阶有源滤波电路的快速设计方法。 3. 掌握二阶有源滤波电路的调试及其幅频特性和相频特性的测试方法。 二、使用仪器与器材 信号发生器;双线示波器;万用表;直流稳压源;实验电路板;元器件若干。 三、设计任务 图中所示为无限增益多路反馈电路的一般形式,请选择适当类型无源元件Y1~Y5,以构成低通滤波器和高通滤波器 1. 请设计一个二阶1dB无限增益多路反馈切比雪夫低通滤波器,通带增益Kp=2,截止频率fc=5kHz,画出电路图。 2. 请设计一个二阶1dB无限增益多路反馈切比雪夫高通滤波器,通带增益Kp=2 截止频率fc=2kHz,画出电路图。 ● 以上工作请在实验课前完成。写在实验报告中。 四、设计步骤 1. 按设计所确定的电路参数,在实验接插板上放入器件,连接低通滤波器(注意连接可靠,正确) 2.将信号发生器的输出信号电压幅值调到1V,接入低通滤波器的输入端,并调整信号源的频率,在低通滤波器输出端测量所对应的幅值。(可用示波器或交流毫伏表测试,并计录输入频率值和所对应的输出幅值,测量10~12 点。) 3.用示波器李沙育图形测试低通滤波器的相频特性,测量10~12 点。 4.进行高通滤波器的电路连接及幅频特性和相频特性测试。测试方法同上。

五、设计报告要求与思考题 1. 复习并掌握滤波器的工作原理,设计方法及应注意问题。 2. 画出所设计的低通滤波器、高通滤波器的电路图。并注明元件参数。 3. 画出幅频特性与相频特性测试原理图,说明测试方法与步骤。 4. 以表格形式分别给出低通滤波器与高通滤波器的幅频特性与相频特性测试数据,并画出其特性曲线。 5. 如果将低通滤波器与高通滤波器相串联,得到什么类型的滤波器,其通带与通带增益各为多少?画出其特性曲线。也可在实验中予以观测和证实。 6. 为构成所得类型的滤波器,对低通滤波器与高通滤波器的特性有无特 定要求。二者哪个在前有无关系? 附录: 1.几种滤波器原理图、幅频特性

通信原理报告 数字基带信号利用匹配滤波器的最佳接收模型设计

通信原理课程设计报告 题目:数字基带信号利用匹配滤波器的最佳接收模型设计 专业班级: 姓名: 学号: 指导教师:

摘要 (1) 关键词 (1) 课程设计要求 (1) 正文 (2) 1.概述 (2) 2.1设计原理 (2) 2.2.1硬件框图 (4) 2.2.2Simulink平台模块 (5) 2.3.1设计过程 (5) 2.3.2高斯白噪声发生器 (5) 2.3.3积分器 (6) 2.3.4抽样判决器 (7) 3.1数据 (7) 3.2结果分析 (9) 4.结论 (10) 【摘要】 匹配滤波器能将调制过的信号还原成原来的样子,而最佳接收机则是指在输入信号存在白噪声的情况下,将信号还原的同时还能优化处理成最准确的信号的接收系统。通常在判别一个系统的优劣时,误码率是个好判断标准。本次课程设计也将误码率作为一个重要的分析系统优劣的标准,设计一个误码率最小的接收系统。 【关键词】MATLAB simulink仿真平台匹配滤波器最佳接收机 【课程设计要求】仿真实现数字基带信号利用匹配滤波器的最佳接收机模型。接收信号为高斯白噪声的二进制数字序列x(t),其码型为双极性不归零码,利用匹配滤波器的最佳接收过程的时域图及频谱图,以及对所设计的系统性能进行分析。实现该最佳接收模型和非最佳接收机模型的区别和性能比较。

1.概述 首先从匹配滤波器的定义:输出信噪比Ps/Pn最大的线性滤波器称为匹配滤波器来看。它的优秀性能使它成为一种非常重要的滤波器,广泛应用与通信、雷达相关的系统中。从相频特性上看,匹配滤波器的输入信号与相频特性是刚好完全相反的。这种情况下,信号通过匹配滤波器后,其相位为0,恰好能使信号时域出现相干叠加的结果。反观噪声的相位是随机的,所以噪声只会出现非相干叠加的结果。也就是说时域上的信噪比最大的问题解决了。从幅频特性来看,输入信号与匹配滤波器的幅频需要一致。也就是说,只要在信号频率越强的点,滤波器的放大倍数也会变得越大;在信号频率越弱的点,滤波器的放大倍数也相应的变得越小。换言之,这种特性使得匹配滤波器让信号尽可能通过,而不太会收噪声影响。在信号输入匹配滤波器之前出现的高斯白噪声的功率谱是相对平坦的,在各个频率点也是差不多的。因此,这种情况下,信号能够尽可能的通过,而噪声则被尽可能的减弱。在解决这两方面的问题后匹配滤波器还不够完美,需要进行最佳接收机准则检验。但这就需要另外的一些设计。 2.1设计原理 有y: y(t)=s(t)+n(t)。当发出信号为si(t)时,其概率密度函数为: 按照某种准则,可以对y(t)作出判决,使判决空间中可能出现的状态r1, r2, …, rm与信号空间中的各状态s1, s2, …, sm相对应。

信号检测实验报告

Harbin Institute of Technology 匹配滤波器实验报告 课程名称:信号检测理论 院系:电子与信息工程学院 姓名:高亚豪 学号:14SD05003 授课教师:郑薇 哈尔滨工业大学

1. 实验目的 通过Matlab 编程实现对白噪声条件下的匹配滤波器的仿真,从而加深对匹配滤波器及其实现过程的理解。通过观察输入输出信号波形及频谱图,对匹配处理有一个更加直观的理解,同时验证匹配滤波器具有时间上的适应性。 2. 实验原理 对于一个观测信号()r t ,已知它或是干扰与噪声之和,或是单纯的干扰, 即 0()()()()a u t n t r t n t +?=?? 这里()r t ,()u t ,()n t 都是复包络,其中0a 是信号的复幅度,()u t 是确知的归一化信号的复包络,它们满足如下条件。 2|()|d 1u t t +∞ -∞=? 201||2 a E = 其中E 为信号的能量。()n t 是干扰的均值为0,方差为0N 的白噪声干扰。 使该信号通过一个线性滤波系统,有效地滤除干扰,使输出信号的信噪比在某一时刻0t 达到最大,以便判断信号的有无。该线性系统即为匹配滤波器。 以()h t 代表系统的脉冲响应,则在信号存在的条件下,滤波器的输出为 0000()()()d ()()d ()()d y t r t h a u t h n t h τττττττττ+∞+∞+∞ =-=-+-???

右边的第一项和第二项分别为滤波器输出的信号成分和噪声成分,即 00()()()d x t a u t h τττ+∞ =-? 0 ()()()d t n t h ?τττ+∞ =-? 则输出噪声成分的平均功率(统计平均)为 2 20E[|()|]=E[|()()d |]t n t h ?τττ+∞ -? **00*000200 =E[()(')]()(')d d '=2()(')(')d d ' 2|()|d n t n t h h N h h N h ττττττδττττττττ+∞+∞+∞+∞+∞ ---=?? ?? ? 而信号成分在0t 时刻的峰值功率为 22 20000|()||||()()d |x t a u t h τττ+∞ =-? 输出信号在0t 时刻的总功率为 22000E[|()|]E[|()()|]y t x t t ?=+ 22**0000002200E[|()||()|()()()()] |()|E[|()|] x t t x t t t x t x t t ????=+++=+ 上式中输出噪声成分的期望值为0,即0E[()]0t ?=,因此输出信号的功率 成分中只包含信号功率和噪声功率。 则该滤波器的输出信噪比为 222000022000|||()()d ||()|E[|()|]2|()|d a u t h x t t N h τττρ?ττ+∞ +∞-==?? 根据Schwartz 不等式有

匹配滤波器的研究与设计

毕业设计(论文) 课题名称匹配滤波器的研究与设计 学生姓名刘燕 学号0540826084 系、年级专业信息工程系、通信工程 指导教师陈延雄 职称工程师 2009年5月22日

摘要 本文针对扩频接收机中伪码捕获部分为研究重点,分析了几种基匹配滤波器实现方于FPGA的常用案,其中包括:直接形式的匹配滤波器、转置结构的匹配滤波器、采用分布式算法的匹配滤波器和折叠式匹配滤波器。通过比较这些方案的优缺点,最终选定了以折叠式匹配滤波器为最优方案来进行设计。折叠式匹配滤波器实际上就是以静止的本地扩频码作为累加器的系数,匹配滤波器相关过程就相当于接收信号滑过本地序列,当滑动到两个序列相位对齐时,就必有一个相关峰值输出。该匹配滤波器采用VHDL语言,通过模块划分来进行设计,整个过程都在Xilinx公司开发的ISE集成软件系统中完成,最后在Modelsim仿真软件上进行了各个模块的仿真。本论文所设计的折叠式匹配滤波器,能够根据实际需要来设置不同的扩频码长度,很好的完成伪码的相关捕获效果。该折叠式匹配滤波器结构能够节省FPGA资源,提高伪码捕获时间和效率,有很好的实际效果。 关键词:匹配滤波器;M序列;伪码捕获;折叠式FIR结构;FPGA

ABSTRACT Based on this background , making the PN code capture part as a point of the spread spectrum receiver , this paper analyze several common used Matched Filter programs on FPGA , including : the direct form of matched filter , the transposed structure of matched filter , the distributed arithmetic structure of matched filter , and folded structure of matched filter . Compared with the advantages and the disadvantages of these programs , finally we choose the folded structure of matched filter as the best one to complete this design . The folded filter is actually using the PN code as the accumulator coefficients , and then , matched filter correlation process is equivalent to the receiving signal spreading the PN code . When the sliding of two phase sequence is the same , this implies that making a result of correlation . The designs of the matched filter using VHDL and modules . The whole process completed in the development of the company Xilinx ISE Integrated Software System . Finally , every modules simulated in the Modelsim simulation software . The design on this paper , according to the actual need , can set up a different PN code length , and make a good effect on the PN code capture of the spread spectrum receiver . The folded matched filter can reduce the cost on FPGA resources or the PN code capture time , and improve the efficiency of the capture process , it also can make a very good practical effects . Key words:Matched filter ;M series;Acquisition of Pseudo-code;Folded FIR structure;Transposed FIR structure;FPGA

低通滤波器工作原理和应用实例

低通滤波器工作原理和应用实例 低通滤波器容许低频信号通过, 但减弱(或减少)频率高于截止频率的信号的通过。对于不同滤波器而言,每个频率的信号的减弱程度不同。当使用在音频应用时,它有时被称为高频剪切滤波器, 或高音消除滤波器。 高通滤波器则相反, 而带通滤波器则是高通滤波器同低通滤波器的组合. 低通滤波器概念有许多不同的形式,其中包括电子线路(如音频设备中使用的hiss 滤波器、平滑数据的数字算法、音障(acoustic barriers)、图像模糊处理等等。低通滤波器在信号处理中的作用等同于其它领域如金融领域中移动平均数(moving average)所起的作用;这两个工具都通过剔除短期波动、保留长期发展 趋势提供了信号的平滑形式。 低通滤波器实例 RC 电路实现的一个低通电子滤波器 一个固体屏障就是一个声波的低通滤波器。当另外一个房间中播放音乐时,很容易听到音乐的低音,但是高音部分大部分被过滤掉了。类似的情况是,一辆小汽车中非常大的音乐声在另外一个车中的人听来却是低音节拍,因为这时封闭的汽车(和空气间隔)起到了低通滤波器的作用,减弱了所有的高音。 电子低通滤波器用来驱动重低音喇叭(subwoofer)和其它类型的扩音器、并且阻塞它们不能有效传播的高音 节拍。 无线电发射机使用低通滤波器阻塞可能引起与其它通信发生干扰的谐波发射。 DSL分离器使用低通和高通滤波器分离共享使用双绞线的DSL和POTS信号。 低通滤波器也在如Roland公司这样的模拟合成器(synthesiser)合成的电子音乐声音处理中发挥着重要 的作用。参见subtractive synthesis. [编辑] 理想与实际滤波器一个理想的低通滤波器能够完全剔除高于截止频率的所有频率信号并且低于截止频率的信号可以不受影响地通过。实际上的转换区域也不再存在。一个理想的低通滤波器可以用数学的方法(理论上)在频域中用信号乘以矩形函数得到,作为具有同样效果的方法,也可以在时域与sinc函数作 卷积得到。 然而,这样一个滤波器对于实际真正的信号来说是不可实现的,这是因为sinc函数是一个延伸到无穷远处的函数(extends to infinity),所以这样的滤波器为了执行卷积就需要预测未来并且需要有过去所有的数据。对于预先录制好的数字信号(在信号的后边补零,并使得由此产生的滤波后的误差小于量化误差)或 者无限循环周期信号来说这是可实现的。 实时应用中的实际滤波器通过将信号延时一小段时间让它们能够“看到”未来的一小部分来近似地实现理想滤波器,这已为相移所证明。近似精度越高所需要的延时越长。 采样定理(Nyquist-Shannon sampling theorem)描述了如何使用一个完善的低通滤波器和奈奎斯特-香农插值公式从数字信号采样重建连续信号。实际的数模转换器都是使用近似滤波器。 [编辑] 电子低通滤波器 一阶滤波器的频率响应

数字匹配滤波器的优化设计与FPGA实现

●主题论文 1 引言 在通信系统中,匹配滤波器的应用十分广泛,尤 其在扩频通信如在CDMA系统中,用于伪随机序列(通常是m序列)的同步捕获。 匹配滤波器是扩频通信中的关键部件,它的性能直接影响到通信的质量。本文从数字匹配滤波器的理论及结构出发,讨论了它在数字通信直扩系统中的应用,并对其基于FPGA的具体实现进行了优化。 2 数字匹配滤波捕获技术 在直接序列扩频解扩系统中,数字匹配滤波器 的捕获是以接收端扩频码序列作为数字FIR滤波器的抽头系数,对接收到的信号进行相关滤波,滤波输出结果进入门限判决器进行门限判决,如果超过 设定门限,表明此刻本地序列码的相位与接收扩频序列码的相位达到同步。如果并未超过设定门限,则表明此刻本地序列码的相位与接收到的扩频序列码的相位不同步,需要再次重复相关运算,直到同步为止,如图1所示。 数字匹配滤波器由移位寄存器、乘法器和累加器组成,这只是FIR滤波器的结构形式,只不过伪 数字匹配滤波器的优化设计与FPGA实现 (王光1,田斌1,吴勉2, 易克初1,田红心1) (1.西安电子科技大学综合业务网国家重点实验室,陕西西安710071; 2.深圳通创通信有限公司,广东深圳518001) 摘要:介绍在直接序列扩频通信中应用数字匹配滤波器实现m序列同步,分析其具体结构,详细讨论了其基于FPGA(现场可编程门阵列)的性能优化。结果表明,数字匹配滤波器用FPGA实现时,能够大大减少资源占用,并提高工作效率。关 键 词:FPGA;数字匹配滤波器;直接序列扩频 中图分类号:TN713 文献标识码:A 文章编号:1006-6977(2006)05-0070-04 Digitalmatchingfilter’soptimizationdesigning andFPGAimplementation WANGGuang1,TIANBin1, WUMian2,YIKe-chu1,TIANHong-xin1 (1.NationalKeyLaboratoryofIntegratedServicesNetworks,XidianUniversity,Xi’an710071,China; 2.ShenzhenNewComTelecommunicationsCo.,Ltd,Shenzhen518001,China) Abstract:Them-sequence’ssynchronouscapturingindirectsequencespreadspectrumsystembyus- ingdigitalmatchingfilterisdescribed,itsrealizationstructureisanalyzedanditsoptimizationimple-mentationisdiscussedindetail.Theresultshowsthattheoptimizationdigitalmatchingfiltercande-creasetheresourceoccupationgreatlyandincreaseworkingefficiency. Keywords:FPGA;digitalmatchingfilter;directsequencespreadspectrum 图1 数字匹配滤波器的结构图

匹配滤波器检测

1.1 匹配滤波器检测 基于第三章对频谱滤波器检测的简要描述,本节就对此进行详细的解说。前面提到了当认知用户知道主用户的先验信息时,匹配滤波器检测就是频谱检测的最优算法,早期的研究表明,匹配滤波器需要(1/SNR )个采样数,检测时间相比较而言较短,就可以与预期的误差概率相吻合。 这种滤波器在数字通信信号和雷达信号的检测中具有特别重要的意义。匹配滤波器频谱检测算法在加性高斯白噪声信道中是一种最优的频谱感知方法,主要通过对授权信号进行解调或者导频检测实现。前者实现比较复杂,通过采用匹配滤波器对授权用户信号解调,要求认知用户为每类授权用户提供一套接收解码设备;后者实现相对简单,不再需要复杂的接收解码设备,而且目前大部分无线通信系统都存在导频、前导码、时间同步信号和扩频码等确知信号, 这样就使得匹配滤波器检测大大简化,但它的缺点就是为了获得匹配滤波器而必须具备授权用户信号的先验知识,除此之外,计算量也比较大。因此如果先验知识不准确,那么匹配滤波器的性能就会大大下降。 1.1.1 匹配滤波器检测框图 检测统计量Y 为: *)()(∑= N n x n y Y 假设x(n)发射信号已知,将检测统计量与预先设定的门限值λ进行比较,大于门限值时就表明关心的频谱存在授权用户,如果小于门限值,就说明该信道中只有噪声,也就是说,出现了频谱空洞,感知用户可以占用该信道。 匹配滤波器检测框图1

对于现实中的信道,信号可能是M 进制的,这就需要同时进行几路信号同时进行匹配,将每一路频谱的结果进行比较,得到的判决结果后,再根据一定的判决根据,判决得到经过不同信道的接受信号。其工作原理图如下: 匹配滤波器工作原理图2 1.1.2 匹配滤波器检测原理 在第三章中曾提到,匹配滤波器检测的设计准则就是使信号的输出信噪比SNR 在某一时刻达到最大值。信噪比SNR 表达式如下: N 2Es SNR = 式子中Es 为观测时间段中检测信号的能量,N 0为噪声功率。 信道在传输信号时还叠加有高斯白噪声n(t),其均值为零,双边功率谱密度为N 0//2,因此接收信号波形为: t t n t s t r ≤ ≤+=0),()()( 设最大输出信噪比准则下的最佳线性滤波器H(ω),输出为 )()()(y 0t n t s t o += 在t=tm 时候,输出信噪比为: ()()m m t n t s 2 o 2 o =ρ 设()()[]t s S F =ωj ,那么经过匹配滤波器后的输出信号为 ()()()? ∞ ∞ -=ωωωπ ωd 21o m t j m e j S j H t s

微波滤波器的设计及实例

滤波器(Filter ) (一)滤波器之种类 以信号被滤掉的频率范围来区分,可分为「低通」(Lowpass)、「高通」(Highpass)、「带通」(Bandpass)及「带阻」(Bandstop)四种。 若以滤波器原型之频率响应来分,则常见有「巴特沃斯型」(Butter-worth)、「切比雪夫I型」(Tchebeshev Type-I)、「切比雪夫II 型」(等几类。 Active)及「被动型」(Passive)型」(L-C Lumped)及「传输线型」( (Interdigital)、「梳型」()及「发针型」 )、「柴比雪夫I 型」(

(二)「低通滤波器」设计方法 (A)「巴特沃斯型」(Butterworth Lowpass Filter) 步骤一:决定规格。 电路特性阻抗(Impedance): Zo (ohm) 通带截止频率(Cutoff Frequency): fc (Hz) ): Ap (dB) ):Ax(dB) ≥ N )。 1 、 1g1 = = + n g N K N K g K ,...., 2,1 , 2 )1 2 ( sin 2= - ? = π 步骤四:先选择「串L并C型」或「并C串L型」,再依公式计算实际电感电容值。 (a)「串L并C型」 Zo f g C f Zo g L c even even C odd odd? = ? = π π2 , 2 (b)「并C串L型」 c even even C odd odd f Zo g L Zo f g c π π2 , 2 ? = ? =

(B)「切比雪夫I型」(Tchebyshev Type-I Lowpass Filter) 步骤一:决定规格。 电路阻抗(Impedance): Zo (ohm) 通带截止频率(Cutoff Frequency): fc (Hz) 阻带起始频率(Stopband Frequency): fx (Hz) 通带涟波量(Maximum Ripple at passband): rp (dB) :Ax(dB) N≥ 1 10 10 10 / 10 / 2 - =- rp Ax N 步骤三:计算原型组件值(Prototype Element Values,g K)。 N K B g A A g A g K K K K K ,..., 3,2 , 4 2 1 1 2 1 1 1 = ? = = - - - α γ α 其中 N K ( sin B N ,..., 2,1 K , N 2 )1 K 2( sin A N 2 sinh , 37 . 17 rp coth ln 1 cosh N 1 cosh 2 2 K K 1 π + γ = = π - = β = γ ? ? ? ? ? ? = β ? ? ? ? ? ? ? ? ? ? ? ? ε = α-

基于labview的低通滤波器设计

基于LabVIEW的低通滤波器设计 学号: 201220120214 姓名:敖智男 班级: 1221202 专业:测控技术与仪器 课程教师:方江雄 2015年6月14 日

目录 一.设计思路 (2) 二.设计目的 (2) 三.程序框图主要功能模块介绍 1.测试信号生成模块 (3) 2.滤波功能模块.................................................................. .3 3.频谱分析模块 (4) 4.While循环模块 (5) 四.进行频谱分析.................................................................6、7五.主要设计步骤..................................................................8、9六.运行结果.. (10) 七.设计心得 (11)

低通滤波器是指对采样的信号进行浦波处理,允许低于截至频率的信号通过,高于截止频率的信号不能通过,提高有用信号的比重,进而消除或减少信号的噪声干扰。 一.设计思路 本VI设计的低通滤波器主要是先将正弦信号和均匀白噪声信号叠加,利用Butterworth低通滤波器进行滤波处理,得到有用的正弦信号:再对经过低通滤波器处理后的信号及信号频谱与滤波前的进行比较分析,检测滤波后的信号是否满足用户的要求。 二.设计目的 基于LabVIEW虚拟平台,将“正弦波形”函数和“均匀白噪声”函数产生的信号进行叠加以产生原始信号,让其先通过一个高通滤波器,滤除白噪声的带外杂波,以便在后续程序中低通滤波器可以输出正弦波;然后经过低通滤波器滤波处理,对滤波前后的信号和信号频谱进行比较,从而对低通滤波器的滤波效果进行检验。

基于Simulink的匹配滤波器设计 设计报告

通信系统课程设计报告

匹配滤波器设计 摘要 在数字通信系统中,滤波器是其中重要部件之一,滤波器特性的选择直接影响数字信号的恢复。在数字信号接收中,滤波器的作用有两个方面:使滤波器输出有用信号成分尽可能强;抑制信号带外噪声,使滤波器输出噪声成分尽可能小,减小噪声对信号判决的影响。通信系统的误码率与输出的信噪比有关,接收端输出信噪比越大,则系统的误码率越小。因此,如果在每次判决前,输出的信噪比都是最大的,则该系统一定是误码率最小的系统。遵从这种考虑原则,,我们可以通过simulink对匹配滤波器进行模拟仿真。 关键词:匹配滤波器;Simulink;模拟;信噪比 Abstract In a digital communication system, wherein the filter is one of the important means to select the filter characteristics directly affect the recovery of digital signals. In the digital signal reception, the role of the filter has two aspects: the filter output as strong useful signal components; suppress signal band noise, the filter output noise components as small as possible, to reduce the impact of noise on the signal judgment . Signal to noise ratio and bit error rate on the output communication system, the receiver output signal to noise ratio, the smaller the system error rate. Thus, if each time before judgment, the output signal to noise ratio is the biggest, the system must be a minimum of system error rate. Comply with the principles of this consideration, we can through the matched filter simulink simulation. Keywords: matched filter; Simulink; SNR

(精品)概率实验四--匹配滤波器

《概率论与随机信号分析》实验报告 一、实验目的与任务 1. 了解匹配滤波器的原理; 2. 实现LFM 信号的相关接收。 二、实验原理 1.匹配滤波器 匹配滤波器是一种用于检测噪声中某个确定信号是否存在的最佳滤波方法。 ()()()X t s t N t =+ ()()*()()*()()*()Y t X t h t s t h t N t h t ==+ 使Y(t 0)中的信号与噪声比最大化,这样在Y(t 0)大于某个合适的门限时,就有把握地认为Y(t)中包含有s(t)。 2020()()s out s y t S N E Y t ??= ??????? 02201()()()2j t s y t S j H j e d ωωωωπ+∞-∞??=???? ? 00**()()()j t j t H j c S j e cS j e ωωωωω-??==??令: 2222001()()2()42out s S j d H j d S N N H j d E N ωωωωπωωπ+∞+∞-∞-∞+∞-∞?? ?????= ????? ???= ??? 从时域来说,匹配滤波器的冲击响应为: 0()()h t cs t t =- 2.线性调频信号是大时宽带宽积信号,常用在雷达和通信信号中来提高系统的抗干扰能力,采用匹配滤波器,可以在强噪声背景环境中发现信号。 20001()sin(2),222T T s t A f t ut t π??=+∈-????

其中:0 2B u T π=为调频斜率 其时宽带宽积为BT 0>>1 当信号淹没在强噪声背景里时,可以通关相关接收,即匹配滤波的方法检测信号,而降低噪声的影响。 三、实验内容与结果 %信号和噪声经过匹配滤波器 close all clear all f01=30e+6; %中心频率 b1=8e+6; %信号带宽 t0=10e-6; %信号时宽 fs=150e+6; %采样频率 %系统带宽和中心频率 b2=8e+6; f02=30e+6; c2=30; subplot(2,1,1) [bl al]=butter(4,b2/2/(fs/2));%滤波器归一化带宽1对应于fs/2 [hfl f2]=freqz(bl,al,100,fs); plot(f2,abs(hfl)); title('系统低通频率响应'); grid on subplot(2,1,2); [bb ab]=butter(4,[(f02-b2/2)/(fs/2) (f02+b2/2)/(fs/2)]); [hf f2]=freqz(bb,ab,100,fs); plot(f2,abs(hf)); title('系统带通频率响应'); grid on figure; t=0:1/fs:t0; u=pi*b1/t0; subplot(2,2,1); s=sin(2*pi*(f01-b1/2)*t+u.*t.*t); plot(t,s); title('LFM 信号'); grid on subplot(2,2,3); n=length(s); n1=n/2; f1=(0:n1-1)/n*fs;

一阶RC低通滤波器杂记

(二) 一阶RC低通滤波器杂记 2013-09-16 11:54:26 分享: 标签:RC滤波器阻抗匹配 关于一阶滤波器的种种有很多资料可查,像截止频率啊,相移啊什么的,这些在这里就不再重复了。本文主要阐述一下阿呆在学习过程中曾被困扰的地方,及本人的简要分析。 本文从无源RC低通滤波器说起,以一个实例为讨论背景:有一个心电放大电路,最后一级输出阻抗50欧姆,但是该电路输出信号存在明显的毛刺,那么我们想通过低通滤波器滤掉高频噪声,该如何实现呢? 最简单的做法,就是在输出上直接加上一个无源RC滤波器了,心电信号频率范围是:0.05-100Hz,为确保有用信号在通带不产生过于不平衡的衰减,我们设计一个截止频率为150Hz的低通滤波器(因为在到达截止频率时,信号已经产生了3dB衰减,一般选取的截止频率值要比实际有用信号的最高频率稍大一些) 如图1所示:

图1 输出幅值变了!信号源输出峰值1V信号,在滤波器输出时,由图1可见,不足1V(每格500mV,不足两格)。怎么回事? 将该滤波器独立出来,利用理想电压源注入信号,观察滤波器输出: 图2 此时的滤波器输出就基本达到了峰值1V的输出。加上含输出阻抗的前级电路就不能达到了呢,原因何在?一直以为RC滤波器根据

公式计算出截止频率,然后选定参数,加到电路里面去就OVER了,看来不是那么简单,它会受前后级阻抗的影响,那么其定量关系该怎么确定呢?不搞清楚这个问题,电路设计就如阿呆一般,停留在社会主义初级阶段了。 后面我们就探究一下RC滤波器在电路中的匹配问题: 以上面的应用为例,假设前级电路的输出阻抗为Ro1,输出信号电压峰值为±2V,后级电路的输入阻抗为RL2,那么,加入一阶无源RC低通滤波器后,后级电路实际接收信号峰值为多少呢? 该实例等效后的电路如下: 图3

相关主题
文本预览
相关文档 最新文档