当前位置:文档之家› 2012北邮数字逻辑期中试题-评分及答案

2012北邮数字逻辑期中试题-评分及答案

2012北邮数字逻辑期中试题-评分及答案
2012北邮数字逻辑期中试题-评分及答案

2012北邮数字逻辑期中试题-评分及答案

北京邮电大学

《数字电路与逻辑设计》期中考试试题 2012.3.24

班级姓名班内序号

题号一二三四五六七八九

总成

20 10 10 10 10 10 10 10 10

注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。

一、(每题1分,共20分)判断(填√或×)、选择(单项选择)题

(请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.组合逻辑电路中不存在记忆单元。(√)

2.晶体管的饱和越深,其对灌电流负载的驱动能力越强,但工作速度越慢。( √ )

3.TTL门的某输入端通过100KΩ电阻接电源端时,可认为该输入是逻辑“1”。(√)

4.为了增加驱动能力,相同输入时的相同逻辑门输出可以互连在一起使用。

2

3

√ )

5.当两个或两个以上输入信号同时变化,变化前后输出相同,而在输入信号变化时可能出现输出瞬间逻辑错误,称为静态逻辑冒险。( × )

6.正逻辑的或非门,对应负逻辑的与非门。(

√ )

7.TTL 与非门的关门电平V OFF 越接近阈值电压V T ,其噪声容限越大。( √ )

8.当i j

≠时,必有两个最大项之积0i

j M

M ?=。(

× )

9. 用最简与或式表示一个函数时,其表达式可能不是唯一的。(

√ )

10.反相器的拉电流负载电阻过小时,主要对输出的高电平产生影响。(

√ )

11.逻辑项ABCD 的相邻项有: C 。

A.ABCD

B.BCD A

C.ABC D ?

D. C A B D ? 12.判断下列两个函数式中,是否存在逻辑冒险。 B 。

1(,,)Y A B C A BC =+ 2(,,)Y A B C ABC ABC =+

A.不存在,存在

B. 不存在,不存在

C.存在,不存在

D. 存在,存在

13.通过适当连接和控制,可以实现数据双向传输的门电路是 D 。 A. 集电极开路输出的TTL 门电路; B. 互补式输出的TTL 门电路; C. ECL 门电路; D. 三态输出的门电路。

14.若某TTL 门电路的输出端最大灌电流负载能力为16mA ,最大拉电流负载能力为-12mA(流出输出端)。其输入端低电平的输入最大电流为-1mA(流出输入端),输入端高电平时的输入最大电流为0.1mA(流入输入端),该门电路的扇出系数为: A 。

A . 16 B. 120 C. 12 D. 160 15.图1.1中电路为TTL 电路。不能实现对应逻辑功能的电路有 A 。

&

A

A

1

≥A

A

1

=A

A

A

B

图1.1

4

16.逻辑函数为m F(A,B,C,D)(1,3,4,5,6,8,9,12,14)=∑,判断当输入变量ABCD 分别从:0000→0110及 0110→1100时是否存在冒险: D 。 A.不存在,存在

B.存在,存在

C.存在,不存在

D.不存在,不存在

17.根据反演规则,函数F A[B (CD EG)]=++的反函数F = A 。 A. A B(C D)(E G) +++

B. A B C DE G +++

C. A B(C D)(E G) +++

18.函数F(A,B,C)A B =e 的标准最小项表达式为: C 。

A. ∑m(2,3,4,5),

B. ∑m(2,3,6,7),

C. ∑m(0,1,6,7),

D. ∑m(0,1,4,5) 19.函数F AC ABCD ABC CD ABD =++++的最简与或式为: B 。 A. ()()()F A B C A D C D =++++ B. F AC CD =+

C. F ABC AD CD =++

20.ECL 逻辑门与TTL 门相比,主要优点有: C 。 A. 抗干扰能力强 B.功耗低 C. 工作速率快 1 2 3

4

5

6

7

8

9

10

√ √ √ √ × √ √ × √ √

11 12 13 14 15 16 17 18 19 20 C B D A A D A C B C 二、(共10分)某器件的内部电路简图如图2.1所示。 (1),说明当输入端C 分别0和1时,电路的输出F 与输入A 、B 的逻辑关系。(2),画出该器件的符号。

1R 2R 3

R 4

R 1

T 2

T 3

T 4

T 5

T D

G A B C

CC

V F

1

图2.1

答案: (1),当C=1时,F=高阻(3分) 当C=0时,F AB =(3分)

5

(2),

&

C

F

A

B (4分)

三、(10分)逻辑电路如图3.1所示。(1)按照图示电路写出F 的原始表达式;(2)将表达式简化为与或式,并填入图3.2的卡诺图;(3)写出用与或非门实现该函数的最简表达式;(4)在图3.3中标明输入信号(未用输入端不许悬空)。

A

C A B C F

A D

&

&

&

&

&

&

AB

CD

00011110

10

11

01

00

1

≥&&&&

F

图3.1 图3.2 图3.3

答案: (1)

F ABC B ABD ACD D ABD =?????(2分) (2)

F AB B C D AD B C D =+??++??(2分)

6

AB

CD 00011110

10

11

01001

111

1

11

1

2分)

(3)F B D AC ABD

=?++(2分)

(4)

1

≥&&

&

&

F

A B D D B A C

1

1

2分)

四、(10分)图 4.1中,已知OC 门的输出晶体管管截止时的漏电流为I OH =200μA ,导通时允许的最大负载电流为I OL(max)=10mA (输出电压不大于0.5V 时);负载门(与门及或门)的低电平(0.5V 时)输入电流为I IL =-1mA ,高电平(3V 时)输入电流为I IH =50μA ,V cc =5V ,要求OC 门的输出高电平V OH ≥3.0V ,输出低电平V OL ≤0.5V 。求R 的范围。

&

&

1

≥A

B

C D

1

F 2

F R

CC V

图4.1

7

答案:输出高电平:53

50.20.2

R K -≤

+ (5分)

输出低电平:50.5

643103R mA

-≥

=Ω- (5分)

五.(10分)用8选1数据选择器设计一个函数发生电路,实现

(,,,)(1,3,5,7,8,9,10,11)M F A B C D =∏。8选1数据选择器的输出逻辑函数式为: 021*********()()()W D A A A D A A A D A A A =??+?+

32104210()()D A A A D A A A ++?521062107210()()()D A A A D A A A D A A A +++ (1),将函数F 填入卡诺图(图5.1),按照图5.2的地址要求圈出8个子图。(2),在片脚图(图5.2)中标明D 0-D 7的输入信号。

AB

CD 00011110

10

11

0100

D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7

A 2A 1

A 0

W F

数据选择器

A B C

5.2

图5.1

8

A B C D 00 01 11 1000

01

11

10

1 1 1 0

0 0 1 0

0 0 1 0

1 1 1 0

D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7

A 2A 1

A 0

W F

数据选择器

A B C

0011

函数填入及子图正确2分 数据端正确,各1分,共8分

六、(10分) 74LS155是地址端公用的双数据分配器,功能表如表6-1所示,请附加最少的门实现如下两输出函数(在给出的图6.1上完成设计,A 为高位;)。

1(,,)F A B C A B C A B C B C =??+??+?

2(,,)(0123567)m F A B C =∑,,,,,, 表6-1 74LS155功能表

1ST A 1A 0

1F 11F 01F 21F 301

11

11111

11111

1

111111000011

11?

?

1D 1D 1D 1D

2ST A 1A 0

2F 12F 02F 22F 310

00

01111

11111

1

111111000011

11?

?

2D 2D 2D 2D

1F 11F 01F 21F 32F 12F 02F 22F 3

A 1A 0

1ST

2ST 1D 2D

B C

6.1

9

1F 11F 01F 21F 32F 12F 02F 22F 3

A 1A 0

1ST

2ST 1D 2D

A

B C

&

1

F 2

F 3分,F2,3分,F1,

4分

七、(10分)

试用两片4位数码比较器74LS85组成

三个数的判断电路。要求能够判别三个4位二

进制数()0

123

a a a a A 、()0

123b b b b B 、()0

123c c c c C 相等(输出F 1=0,

F 2=1)及A 最大(输出F 1=1,F 2=0)。在图7.1上完成设计,可附加与门。(芯片74LS85的3个输出端,分别表示在A>B 时Y A>B =1;A=B 时Y A=B =1;A

A >B

A

A =B

A 0A 1A 2A 3

B 0B 1B 2B 3

Y A>B Y A=B Y A

74LS85

1

A >

B A

A =B

A 0A 1A 2A 3

B 0B 1B 2B 3

Y A>B Y A=B Y A

74LS85

1

答案:评分:3个输入各2分,2个输出各2分

10

A>B A

A=B A 0A 1A 2A 3

B 0B 1B 2B 3

Y A>B Y A=B Y A

74LS85

1

F 1

F 2

01230123

b b b b A>B

A

A=B A 0A 1A 2A 3

B 0B 1B 2B 3

Y A>B Y A=B Y A

74LS85

1

&&

八、(10分)用或非门实现函数,,,)F

A B C D AC A BD A CD =+?+(,要求没

有逻辑冒险。(1),将函数填入图8.1的卡诺图;(2),画出逻辑电路。

AB

CD 00011110

10

11

0100 图8.1

答案:6分+4分

AB 00 01 11 1000

0111100 0 1 1

1 0 1 1

1 0 0 0

1 1 0 0

CD A B A C C D F

1

≥1

≥1≥1≥1

≥1

≥A D A C B B C D

九、应用问题简答:

已知供电电压为5V的74S系列器件的I OH=-1mA,I OL=20mA,74LS系列器件的I OH=-0.4mA,I OL=8mA。

&R

LED &R

LED

5V

+&

J

继电器

5V

D

&

J

继电器

D

()d

()c

()b

()a

图9.1

1.现需驱动一个发光二极管(正向压降为 1.5V),要求发光时的电流I LED=10mA。

(1)应使用74S系列还是74LS系列器件?74S系列(2分)

(2)应使用图9.1(a)电路还是(b)电路?(b)电路(2分)

(3)简述图中R的作用。限流(2分)

2. 若需驱动一个5V继电器,继电器的电流为I J=5mA。(图中D的作用是抑制自感生的高电压,起到保护器件的作用)。

(1)可以选择哪个系列的器件?74S或74LS(2分)

(2)应使用图9.1(c)电路还是(d)电路?(d)电路(2分)

11

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

北邮数字逻辑期中试题及参考答案全新

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门 16.逻辑表达式[()]F AB C D E B =++?的对偶式为 B 。

北邮大学英语3-期末考试总复习题阶段作业一、二、三汇总,考试必备你懂的

大学英语3词汇选择练习题 第一单元选择题 1. It __________that the necklace was made of glass. A. turned out B. made out C. looked out D. took out 解析:该题选A,题目大意是“原来那串项链是用玻璃做的”。 turn out: 结果是;证明是 The party turned out to be very successful. 晚会结果开得很成功。 2. ___________, he can finish the work in a couple of weeks. A. Giving good health B. If give good health C. Given good health D. If he is good given health 解析:该题选C,题目大意是“倘若身体好,他能在一两周内完成这项工作”。given 引导方式状语,意为“倘若,假设,考虑到”。如: 1. Given their inexperience, the y’ve done a good job.考虑到他们缺乏经验,他们 的工作已经做得不错了。 2. Given some more time, I would do the job better.假如时间再多些,我能把工作 做得更好。 3. Given good health, the old lady can look after her grand-daughter for her son.假 如身体好的话,这位老太太能帮她儿子照看孙女。 3. ___________ to speak at the meeting, I couldn’t very well refuse. A. Called up B. Called off C. Called at D. Called on 解析:该题选D,题目大意是“要让我在会上发言,我是不会拒绝的”。 call on sb. to do st h:invite/require sb. to do sth.请/要求某人做某事 1. A teacher can call on individual students to compose similar questions. 老师可以要求每个学生提出类似的问题。 2. The chairman called on his people to organize so that they could be more powerful.主席号召他的民众组织起来,这样才能更有力量。 4. The poor police had never __________ of winning. A. made a chance B. took a chance C. stood a chance D. kept a chance 解析:该题选C,题目大意是“可怜的警察毫无胜诉的机会”。 stand a chanc e:have a prospect (of sth.) 有…希望 1. stand a chance of winning the game有可能赢得这场比赛 2. I think you stand a good chance of being elected president.我认为你极有可能 当选为公司总裁。 3. Weak and lame in one leg, he never stood a chance of getting the job of taxi-driver.由于身体虚弱,并且有一条跛腿,他从未有机会得到出租车司机的工作。 5. If our neighbor continues to refuse to keep his dog under control, we have to take him to ___________. A. solicitor B. brush C. prisoner D. court 解析:该题选D,题目大意是“如果我们的邻居仍然拒绝看管好他的狗,我们就不得不法庭上见了”。 take sb. to court:控告某人,对某人提出诉讼 1. If you don't pay up, I'll take you to court. 如果你不还清欠款, 我就到法院告

北邮计算机网络期末考试样题

《计算机网络》期末考试样题 一.单项选择题(共15分,每题1分) 1.()下列关于ADSL描述哪个是错误的 A. 实现了全双工通信,在两个方向上的传输速率可以不同 B. 使用基带传输方案,不需要像MODEM那样对数据进行调制, 所以ADSL一般比MODEM提供更高的通信速率 C. ADSL通信与普通电话机的语音通信使用完全相同的传输介质 D. ADSL仅仅是一个物理层标准 2.()在有传输误码的数据信道上传输数据,下列哪种方法不能正确地 实现链路层的成帧处理 A. 字符计数法 B. 字节填充法 C. 比特填充法D.物理层编码违例法 3.()如果用户计算机通过电话网接入因特网,则用户端必须具有: A. NAT网关 B. 以太网交换机 C. 集线器 D. 调制解调器 4.()链路层协议采用选择重传滑动窗口协议,其中数据帧编号采用8 比特,发送窗口的最大值是: A.256 B. 255 C. 128 D. 127 5.()以下哪个是正确的以太网地址 A. B. e0-2b-37 C. 00-30-2c-45-bc-2d D. 8000::126:376e:89bc:5c2e 6.()IP路由器属于哪一层的互连设备 A.物理层 B. 链路层 C. 网络层 D. 传输层 7.()下列哪种指标不是用来衡量网络服务质量(QoS)的主要指标 A.分组延迟时间B.到达抖动时间 C.分组生存时间 D. 分组传输带宽 8.()某同学在校园网访问因特网,从该同学打开计算机电源到使用 命令ftp 连通文件服务器的过程中,哪个协议没有使用到 A.IP B.ICMP C.ARP D. DHCP 9.()某主机的IP地址为子网掩码为,当这台主机在子网内发送广播 数据报时,IP数据报中的源地址为 A. B. 10.C. D. ()某校分给数学教研室的IP地址块为,分配给 外语教研室的地址块为,分配给物理教研室的地址块为。这三个地址块经过聚合后的地址块为: 11.A. B. D. ()关于TCP/IP协议特点的描述中,错误的是 A. IP提供尽力而为的服务,无法保证数据可靠到达 B. TCP是面向连接的传输协议 C. UDP是可靠的传输协议 D. TCP/IP协议可以运行于多种操作系统 12.()在TCP/IP网络中,转发路由器对IP数据报进行分片的目的是: A. 提高路由器的转发效率

化工原理期末考试试题(2012年版)

1 化工原理期末考试试题 一.填空题 1.精馏操作的目的是 使混合物得到近乎完全的分离 ,某液体混合物可用精馏方法分离的必要条件是 混合液中各组分间挥发度的差异 。 2.进料热状态参数q 的物理意义是 代表精馏操作线和提馏段操作线交点的轨迹方程 ,对于饱和液体其值等于 0 ,饱和蒸汽q 等于 1 。 3.简单蒸馏与平衡蒸馏的主要区别是 简单蒸馏是非定态过程 。 4.吸收操作的目的是 分离气体混合物 ,依据是 组分在溶剂中溶解度之差异 。 5.连续精馏正常操作时,增大再沸器热负荷,回流液流量和进料量和进料状态不变,则塔顶馏出液中易挥发组成的摩尔组成X D 将 增大 ,塔底采出液中易挥发组成的摩尔组成X W 将 减小 。(减小,增大,不变,变化不确定) 6.平衡蒸馏(闪蒸)的操作温度是在操作压力下混合物的泡点和露点温度之间。 (泡点温度,露点温度,泡点和露点温度之间) 7.液-液萃取操作中,操作温度 ,有利于分离。(降低,升高,保持恒定)。 8.多级逆流萃取操作,减少溶剂用量,完成规定的分离任务所需的理论级数 。(增 大、减小、不变) 9.实际生产中进行间歇精馏操作,一般将 和 两种操作方式结合起来。(恒定回流比,恒定产品组成) 10.请写出两种常用的解吸操作方法: 和 。升温,气提,降压(三写二) 11.在吸收塔的设计中,气体流量,气体进出口组成和液相进口组成不变,若减少吸收剂用量,则传质推动力 减小 ,设备费用 增多 。(减小,增多) 12.当温度升高时,溶质在气相中的分子扩散系数 升高 ,在液相中的分子扩散系数 升高 。(升高,升高) 13.吸收操作的基本依据是 组分在溶剂中溶解度之差异 ,精馏操作的基本依据是 各组分间挥发度的差异 。 14.蒸馏是分离 均相液体混合物 的一种方法,蒸馏分离的依据是 挥发度差异 。 15.恒沸精馏与萃取精馏都需加入第三组分,目的分别是 使组分间相对挥发度增大 、 改变原组分间的相对挥发度 。 16.如果板式塔设计不合理或操作不当,可能产生 严重漏液 、 严重泡沫夹带及 液泛 等不正常现象,使塔无法工作。 17.板式塔的类型有 泡罩塔 、 浮阀塔 、 筛板塔 (说出三种);板式塔从总体上看汽液两相呈 逆流 接触,在板上汽液两相呈 错流 接触。 18.易溶气体溶液上方的分压 小 ,难溶气体溶液上方的分压 大 ,只要组份在气相

数字逻辑课程设计(定时器)

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

北邮英语试题答案(2)

一、阅读理解(共1道小题,共50.0分) 1.Robert Bruce was a famous Scottish general. In the early 14th century he tried to drive the English out of Scotland, but he was not successful because the English were too strong. Finally, Bruce had to run away and hide in a cave. One day, he lay in his cave thinking of the sad state of Scotland. A spider began to make a web above his head. Simply to pass the time, Bruce broke the web. Immediately the spider began to make a new one. Six times Bruce broke the web and six times the spider immediately made a new one. Bruce was surprised at this. He told himself that he would break the web a 7th time. If the spider made a new one, it would be a good lesson to him, for like the spider, he had been defeated six times. Bruce then broke the web. Again the spider made a new one. From this simple fact, Bruce became encouraged. He again got an army together. This time he was successful and drove the English out of Scotland. 1. Who was Robert Bruce? A. He was an English general. B. He was a Scottish general. C. He was a spider researcher D. He was a biologist from Scotland. 2. Why did Bruce hide in a cave? A. Because he was defeated by the English. B. Because he was afraid of the English army. C. Because he was looking for spiders D. Because he was badly injured in the battle. 3. In the beginning he broke the spider web just because______.

2012民法总论期末考试试题

西南政法大学第二学期民法总论期末考试试题 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.不属于我国民法渊源的是(C) A.宪法 B.地方性法规 C.法院判例 D.国家政策 2.在民法解释时,应当首先考虑运用的方法是(A)。 A.文义解释 B.论理解释 C.社会学解释 D.比较法解释 3.抗辩权的作用在于(B)。 A.支配特定物 B.对抗请求权 C.对抗支配权 D.即是请求权 4.下列属于法定孳息的是(B)。 A.奶牛产的牛奶 B.存款所得的利息 C.羊身上取得的羊毛 D.宰猪而获得的猪肉 5.下列不属于效力待定的民事行为的是(A)。 A.甲误将乙当作丙而与乙订立合同 B.甲擅自以乙的名义与丙签订合同 C.甲将乙托付保管的自行车私自买给丙 D.12岁的甲把父亲送给自己的手机赠与给同学乙 6.下列行为中,属于民事法律行为的是(C)。

A.甲打伤乙的行为 B.甲约乙去看画展 C.甲与乙签订一份买卖服装的合同 D.甲、乙共同盗窃 7.下列关系中,属于民事法律关系的是(D)。 A.领导和下属的关系 B.个体工商户和税务机关之间的关系 C.庄某和李某之间的朋友关系 D.甲、乙之间的买卖关系 8.所有权属于(A)。 A.绝对权 B.相对权 C.请求权 D.形成权 9.(A)主要是物权法律关系的客体。 A.物 B.行为 C.智力成果 D.权利 10.(B)是指依法能够引起民事法律关系产生、变更和消灭的客观现象。 A.民事法律规范 B.民事法律事实 C.民事法律关系 D.民事权利 11.附解除条件的民事法律行为,在条件不成就时,该民事法律行为(D)。 A.开始生效 B.开始无效 C.失去效力 D.继续有效 12.买方的经办人和出卖人互相串通,抬高价金,由后者会给前者一定的回扣,这一行为的性质属于(C)。 A.欺诈 B.显失公平

北邮大学英语3第二次阶段作业

北邮大学英语3第二次阶段作业 一、完形填空(共1道小题,共50.0分) 1.Many years ago there was a poor man. He had an orange tree 1 his garden. On the tree there were many fine oranges. 2 he found one 3 his oranges was much bigger 4 the others. It was as 5 as a football. Nobody had ever seen 6 orange. The poor man took the orange to the king. The king was so happy ___7 __he gave the man a lot of money for it. When a rich man heard of it, he said to hi mself, “It's only an orange. Why has the king given so much money 8__ it? I'II take my gold cup to the king. He'll give me 9 money.” The next day when the king received the gold cup, he said to the rich man, 'What a beautiful cup! I'll show you __10__ , please take this great orange." a. A.on B.in C.over D.with 学生答案: B; 标准答 案: B b. A.One day B.Yesterday C.When D.This morning 学生答案: A; 标准答 案: A c. A.for B.in

北京邮电大学概率论期末考试试卷及答案

第1章 概率论的基本概念 §1 .1 随机试验及随机事件 1. (1) 一枚硬币连丢3次,观察正面H ﹑反面T 出现的情形. 样本空间是:S= ; (2) 一枚硬币连丢3次,观察出现正面的次数. 样本空间是:S= ; 2.(1) 丢一颗骰子. A :出现奇数点,则A= ;B :数点大于2,则B= . (2) 一枚硬币连丢2次, A :第一次出现正面,则A= ; B :两次出现同一面,则= ; C :至少有一次出现正面,则C= . §1 .2 随机事件的运算 1. 设A 、B 、C 为三事件,用A 、B 、C 的运算关系表示下列各事件: (1)A 、B 、C 都不发生表示为: .(2)A 与B 都发生,而C 不发生表示为: . (3)A 与B 都不发生,而C 发生表示为: .(4)A 、B 、C 中最多二个发生表示为: . (5)A 、B 、C 中至少二个发生表示为: .(6)A 、B 、C 中不多于一个发生表示为: . 2. 设}42:{},31:{},50:{≤<=≤<=≤≤=x B x x A x x S :则 (1)=?B A ,(2)=AB ,(3)=B A , (4)B A ?= ,(5)B A = 。 §1 .3 概率的定义和性质 1. 已知6.0)(,5.0)(,8.0)(===?B P A P B A P ,则 (1) =)(AB P , (2)()(B A P )= , (3))(B A P ?= . 2. 已知,3.0)(,7.0)(==AB P A P 则)(B A P = . §1 .4 古典概型 1. 某班有30个同学,其中8个女同学, 随机地选10个,求:(1)正好有2个女同学的概率, (2)最多有2个女同学的概率,(3) 至少有2个女同学的概率. 2. 将3个不同的球随机地投入到4个盒子中,求有三个盒子各一球的概率. §1 .5 条件概率与乘法公式 1.丢甲、乙两颗均匀的骰子,已知点数之和为7, 则其中一颗为1的概率是 。 2. 已知,2/1)|(,3/1)|(,4/1)(===B A P A B P A P 则=?)(B A P 。 §1 .6 全概率公式 1. 有10个签,其中2个“中”,第一人随机地抽一个签,不放回,第二人再随机地抽一个 签,说明两人抽“中‘的概率相同。 2. 第一盒中有4个红球6个白球,第二盒中有5个红球5个白球,随机地取一盒,从中随 机地取一个球,求取到红球的概率。 §1 .7 贝叶斯公式 1. 某厂产品有70%不需要调试即可出厂,另30%需经过调试,调试后有80%能出厂,求(1) 该厂产品能出厂的概率,(2)任取一出厂产品, 求未经调试的概率。 2. 将两信息分别编码为A 和B 传递出去,接收站收到时,A 被误收作B 的概率为,

555简易电子琴数字逻辑课程设计报告 正文

目录 第一章系统概述 (1) 1.1 系统开发背景 (1) 1.2 系统开发意义 (1) 1.3 EWB在数字电子电路综合课程设计中的应用 (1) 第二章555简易电子琴设计 (2) 2.1 设计题目 (3) 2.2 设计的目的与要求 (3) 2.3 分析任务 (3) 2.3.1设计总开关模块 (3) 2.3.2设计控制模块 (3) 2.3.3设计琴键模块 (3) 2.3.4设计扬声器模块 (3) 2.4 需用器件的选择 (3) 2.4.1 555定时器 (3) 2.4.2 电容 (6) 2.4.3 电阻 (6) 2.5 总体说明 (6) 2.6 单元模块 (6) 第三章555简易电子琴的实现 (8) 3.1 单元模块的实现 (8) 3.2 电子琴的完整电路设计 (9) 3.3 参考文献 (17)

第一章系统概述 1.1系统开发背景 随着电子技术的不断发展,模拟电子技术的缺点和局限性越发明显,模拟电子技术的不稳定性、易干扰性等大大限制了其应用,且有阻碍电子 技术发展的趋势。19世纪兴起的数字电路以其先天的便捷、稳定的优点在 现代电子技术电路中占有越来越重要的地位。 数字电路与模拟电路相比有显而易见的稳定性。近年来,数字电路又有了巨大的发展。可编程逻辑器件(PAL、GAL等)的发展和普及最终使IC 的设计面向了用户(这是模拟电路无法做到的),而这毫无疑问会给用户带来巨大的便捷,从而奠定它在电子电路中的对位。 随着集成技术的进一步提高,各种新技术的出现和应用,人类历史横跨数码时代向更进一步发展已出现在各大型相关企业的宏伟蓝图中。新世 纪里谁掌握了新技术谁就得到了获胜的资本,也仅仅是资本而矣。新世纪 里电子行业的发展速度令人窒息,闻名的摩尔定律更把许多人威吓在门外。 可以展望,由数字构成的新世界即将出现。将是人类文明的又一飞跃。 1.2系统开发意义 555简易电子琴是一种用数字电路技术实现数字显示装置,与机械式数字显示装置相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。555简易电子琴从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做555简易电子琴就是为了了解555定时器的原理,从而学会制作555简易电子琴,而且通过555简易电子琴的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1.3EWB在数字电子电路综合课程设计中的应用

北京邮电大学 数字逻辑期末模拟试题8

本科试卷(八) 一、选择题(每小题2分,共30分) 1.逻辑函数F1=∑m (2,3,4,8,9,10,14,15), 它们之间的关系是________。 A . B . C . D .、互为对偶式 2. 最小项的逻辑相邻项是________。 A .ABCD B. C. D. 3. 逻辑函数F (ABC )=A ⊙C 的最小项标准式为________。 A.F=∑(0,3) B. C.F=m 0+m 2+m 5+m 7 D. F=∑(0,1,6,7) 4. 一个四输入端与非门,使其输出为0的输入变量取值组合有_______种。 A. 15 B. 8 C. 7 D. 1 5. 设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要_______个异或门。 A .2 B. 3 C. 4 D. 5 6. 八路数据选择器如图1-1所示,该电路实现的逻辑函数是F=______。 A . B . C . D . 图1-1 7. 下列电路中,不属于时序逻辑电路的是_______。 A .计数器 B .触发器 C .寄存器 D .译码器 8. 对于JK 触发器,输入J=0,K=1,CP 脉冲作用后,触发器的次态应为_____。 A .0 B. 1 C. 保持 D. 翻转 9. Moore 型时序电路的输出_____。 A.与当前输入有关 B. 与当前状态有关 C. 与当前输入和状态都有关 D. 与当前输入和状态都无关 2F ABC ABCD ABC ABC ACD =++++12F F =12F F =12F F =1F 2F ABCD ABCD ABCD ABCD C A C A F +=AB AB +AB AB +A B ⊕A B +

北邮英语试题答案 (3)

一、完形填空(共1道小题,共50.0分) 1.Many years ago there was a poor man. He had an orange tree 1 his garden. On the tree there were many fine oranges. 2 he found one 3 his oranges was much bigger 4 the others. It was as 5 as a football. Nobody had ever seen 6 orange. The poor man took the orange to the king. The king was so happy ___7 __he gave the man a lot of money for it. When a rich man heard of it, he said to himself, “It's only an orange. Why has the king given so much money 8__ it? I'II take my gold cup to the king. He'll give me 9 money.” The next day when the king received the gold cup, he said to the rich man, 'What a beautiful cup! I'll show you __10__ , please take this great orange." a. A.on B.in C.over D.with 学生答案: B; 标准答 案: B b. A.One day B.Yesterday C.When D.This morning 学生答案: A; 标准答 案: A c. A.for B.in C.of D.among

北邮-《服务营销管理》-期末考试复习题

《服务营销管理》期末复习题 一、填空: 1.服务感知的内容一般包括(可靠性、反应性、有形性、保证性)和移情性(关怀性)五个层面的服务质量。 2.服务产品具有区别于实物产品的4个主要特点,具体包括无形性、差异性、不可储存性、不可分性。 3.与实物产品质量相比,服务质量的特点是(服务质量的主观性、服务质量的过程性、服务质量的整体性) 4.服务蓝图在结构上由__4___个区域和__3___条分界线组成。将顾客活动区域与前台活动区域之间的分界线称为__交际线__;将前台活动区域与后台活动区域之间的分界线称为_能见度界线___。 5.服务中间商主要有(特许服务商,服务代理商)和(服务经纪人)三种类型,服务机构管理中间商的策略可以有三种,包括(控制策略、授权策略、合作策略) 6.服务时间调节包括(调整服务时间、建立预订系统、告示高峰时间、灵活的用工制度)以及全天候营销和假日营销。 7.服务营销组合新增的三个营销要素是(人员,过程,有形提示) 8.根据期望水平的高低可以将服务期望分为(理想服务,宽容服务,合格服务)三大类。 9.宽容的服务是指顾客心目中介于(期望服务与合格服务)之间的服务。 10.关系营销的策略有(财务性关系营销、社交性关系营销、结构性关系营销) 11.服务创新的类型包括(全新型服务创新,替代型服务创新,延伸型服务创新,拓展型服务创新,改进型服务创新,包装型服务创新) 二、名词解释: 1.服务期望:服务期望是指顾客心目中服务应达到和可达到的水平。 根据期望水平的高低可以将服务期望分为理想服务,宽容服务,合格服务三大类。 理想的服务是指顾客心目中向往的较高水平的服务。 合格服务是指顾客心目中能接受的最低水平的服务。 宽容服务是指顾客心目中介于理想服务和合格服务之间的服务。 2.服务感知:是指在服务过程中顾客对服务质量的感觉、认知和评价。 3.有形提示(有形实据):是指服务过程中能被顾客直接感知和提示服务信息的有形物,主要是指服务场所及其环境、服务设施、服务工具、服务用品等。 4.理想服务:是指顾客心目中向往的较高水平的服务。 5.合格的服务:合格服务是指顾客心目中能接受的最低水平的服务。

数字逻辑课程设计报告

数字逻辑 课程设计报告 —多功能数字钟的设计与实现 姓名: 专业班级:通信1002 学号:31006010 指导老师:曾宇 设计日期:2012.06.20~2012.06.24

一、设计目的 1、学会应用数字系统设计方法进行电路设计; 2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。 3、培养学生书写综合实验报告的能力。 二、设计任务及要求 实现多功能数字钟的设计,主要有以下功能: 1、记时、记分、记秒 2、校时、校分、秒清0 3、整点报时 4、时间正常显示 5、闹时功能(选做) 三、设计思路 3.1 计时模块 3.1.1 设计原理 计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。 图3.1.1 计时间模块 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号; 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 计数器的设计: 3.1.2 设计程序 编程分别设计24、60进制计数器,计数状态以BCD码形式输出。 24进制计数器源程序:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN24 is port(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic; h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位 end FEN24; architecture behave of FEN24 is begin process(clk) variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数 begin if(en='0')then ---“使能”为0 cnt0:="0010"; cnt1:="0001"; elsif clk'event and clk='1'then ---上升沿触发 if cnt1="0010"and cnt0="0011"then cnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000"; co<='1'; else co<='0'; if cnt0="1001"then cnt0:="0000"; cnt1:=cnt1+1; else cnt0:=cnt0+1; -----高位记数累加 end if; end if; end if; h1<=cnt1; h0<=cnt0; end process; end behave; 60进制计数器源程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN60 is

数字逻辑北邮期末分析

1.电子电路分为模拟电子电路和数字电子电路。数值的度量采用直流电压或电流的连续值,称模拟量。 2.数字电路比模拟电路有许多优点。如:电路便于集成化、系列化生产,成本低廉,使用方便;抗干扰性强,可靠性高,精度高;处理功能强,不仅能实现数值运算,还可以实现逻辑运算和判断;可编程数字电路可容易地实现各种算法,具有很大的灵活性;数字信号更易于存储、加密、压缩、传输和再现。 3.数字量具有精度高、传输高效、易存储、易处理等优点(上升沿10%—90%) 4.自然码:有权码,每位代码都有固定权值,结构形式与二进制数完全相同,最大计数为2n-1,n为二进制数的位数 5.可靠性代码:(1) 奇偶校验码(2) 格雷码(Gray 码,又称循环码(循环码的一种)<格雷码的特点是任何相邻的两个码组中,仅有一位代码不同,抗干扰能力强,主要用在计数器中> 6.数字电路是传递和处理数字信号的电子电路。它有组合逻辑电路和时序逻辑电路两大类。 7.数字电路的优点:便于高度集成化,工作可靠性强,抗干扰能力强,保密性好等。 8.时序逻辑电路中一定包含:触发器。时序电路中必须有:时钟。从本质上讲,控制器是一种时序电路。时序逻辑电路:逻辑功能特点:任何时刻的输出不仅取决于该时刻的输入信号(输入变量)的状态,而且与电路原有的状态(原来的输出)(Qn+1 = f(Qn, input))有关。即历史状态相关性。时序逻辑电路具有记忆功能(适当的控制) 电路结构特点:由存储电路和组合逻辑电路组成。包含锁存器或触发器它的输出往往反馈到输入端,与输入变量一起决定电路的输出状态。 //时序逻辑电路的类型(都跟触发器或其组合有关)同步时序逻辑电路:所有触发器的时钟端连在一起。所有触发器在同一个时钟脉冲CP 控制下同步工作。 异步时序逻辑电路:时钟脉冲CP 只触发部分触发器,其余触发器由电路内部信号触发。因此,触发器不在同一时钟作用下同步工作。 9.一位十进制计数器至少需要4个触发器 10.锁存器、触发器和门电路是构成数字电路的基本单元。 锁存器、触发器有记忆功能,由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关。而门电路(组合电路)无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关 11.布尔代数的三个最重要规则是代入规则,反演规则和对偶规划 12.数字量的特定是数值为离散量,运算结果也是离散量。 13.二进制系统的两个数字0和1是一个开关量,常称比特。用来表示1和0的电平称为逻辑电平。 14.自然二进制有叫有权码。循环码(又叫单位距离码):任何相邻的两个码字中,仅有一位不同。 15.二进制对十进制编码,简称BCD码。8421码(eg:1592是0001 0101 1001 0010)<当相加和大于9时加6修正,无1010~1111>余3码:在8421码的基础上加0011。优点执行十进制相加时,能正确的产生进位信号,而且会给减法运算带来方便。格雷码是使任何两个相邻的代码只有一个二进制状态不同(主要用于计数器)。格雷码是一种循环码。无权码:余 3 码和格雷码。有利于得到更好的译码波形。可靠性代码(奇偶校验码,格雷码) 16.化简的意义:使逻辑式最简,以便设计出最简的逻辑电路,从而节省元器件,优化生产工艺,降低成本和提高系统可靠性。 17.逻辑函数的描述工具:布尔代数{(布尔代数中的变量称为逻辑变量)<0和1代表两种对立的逻辑状态>};真值表(n变量,2^n种可能);逻辑图法();卡诺图法(变量数基本上少于5);波形图;硬件描述语言法。 18.正逻辑,负逻辑,三态门(逻辑1,逻辑0,高阻抗)<使能端有效时(逻辑1)输出状态取决于输入状态> 19.卡诺图

北邮网络教育学院大学英语试题

一、单项选择题(共20道小题,共100.0分)1Her brother ______ to leave her in the dark room alone when she disobeyed his order. 1declared 1threatened 1warned 1exclaimed 知识点:Vocabulary 学生答案:[B;]标准答案:B 得分:[5]试题分值: 5.0提示:2It is certain that he will ______ his business to his son when he gets old.1take over 1think over 1hand over 1go over 知识点:Vocabulary 学生答案:[C;]标准答案:C 得分:[5]试题分值: 5.0提示:3The president spoke at the business meeting for nearly an hour without ______ his notes. 1bringing up 1referring to 1looking for 1trying on 知识点:Vocabulary 学生答案:[B;]标准答案:B 得分:[5]试题分值: 5.0 提示: 4 With oil prices keeping ______, people are hesitating whether to buy a car or not.1 rising 1 arising 1raising 、管路敷设技术通过管线敷设技术不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标高等,要求技术交底。管线敷设技术中包含线槽、管架等多项式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内,强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

相关主题
文本预览
相关文档 最新文档