当前位置:文档之家› 广工EDA数字逻辑课后习题答案

广工EDA数字逻辑课后习题答案

广工EDA数字逻辑课后习题答案
广工EDA数字逻辑课后习题答案

习题答案

第1章

一、单选题

(1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D

(12)D

(13)A

(14)D

二、判断题

(1)√ (2)√ (3)× (4)× (5)×

(6)×

(7)√

(8)×

三、填空题

(1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100

(4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1

四、综合题

(1)

① B

A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B

A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D

B C B A D C D B C B DE B B A B C DE B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()(

(2)

① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下:

化简结果为:BD D A D C Y ++=

② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下:

化简结果为:D B A D C B A F +=),,,(

③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

函数卡诺图如下:

化简结果为:??

???=++=∑0)15,13,5,4,3(),,,(C

B D A

C A

D C B A F

(3)

该逻辑图所对应的逻辑表达式如下 AC C B B A Y +⊕++=)(

根据真值表,可写出标准与或式如下 ABC C AB C B A C B A C B A C B A Y +++++= (4)

根据表达式画出逻辑图如下

A B

C

Y

第2章

一、单选题

(1)B (2)CDA (3)D (4)C (5)C (6)B (7)D

(8)B

(9)A

(10)B

二、判断题

(1)√ (2)√ (3)× (4)√ (5)√ (6)× (7)√ (8)×

(9)×

(10)√

(11)√

三、综合题

1.解:

由于 0110 + 1011 + 1 = 1 0010, 因此 C out 输出1,S 3 ~ S 1输出0010 2.解:

(1)分析设计要求

……

(2)列真值表

……

(3)写逻辑表达式

7

7665544332211000

1270126012501240123012201210120m D m D m D m D m D m D m D m D S S S D S S S D S S S D S S S D S S S D S S S D S S S D S S S D Y +++++++=++++

+++=

(4)画逻辑图

D 0D 1D 2D 3S

S Y

D 4D 5D 6D 7

S

3.解:

(1)分析设计要求 …… (2)列编码表

……

(3)写逻辑表达式

8

64200874301943212987653I I I I I Y I I I I I Y I I I I I Y I

I I I I Y ++++=++++=++++=++++= (4)画逻辑图

1

Y 2

Y I 2

I 0I 1I 3

I 4I 5I 6I 7I 8I 9

Y 3

Y 0

4.解:

(1)分析设计要求

…… (2)列真值表

(3)写逻辑表达式

130********S DS Y S DS Y S S D Y S S D Y ==== (4)画逻辑图

D

Y 0Y 1Y 2Y 3

5.解:根据乘法原理

A 2 A 1 A 0 ×

B 1

B 0

A 2

B 0 A 1B 0 A 0B 0 + A 2B 1 A 1B 1 A 0B 1

P 4

P 3

P 2

P 1

P 0

显然,电路的输入输出信号有: 输入信号:被乘数A (A 2A 1A 0),乘数B (B 1B 0) 输出信号:乘积P (P 4P 3P 2P 1P 0)

由乘法原理可见,此乘法器需要6个与门及一个4位加法器,故选择2片74HC08及1片74HC283。

逻辑图:

连线图:

P 2

P 1

P 3P 4

A 2

B 1

V cc V cc V cc

P 0A 2B 0

A 0A 1

B 0B 0

A 0B 1

A 1B

10

00

6.解:(1)分析设计要求

4位有符号二进制数比较器的输入信号分别为A 数(A 3A 2A 1A 0)、B 数(B 3B 2B 1B 0),其中A 3及B 3分别为两个数的符号位,A 2~A 0、B 2~B 0为数值位;输出信号仍然是G 、E 、S ,分别表示大于、等于、小于三种比较结果。 (2)列真值表

依据多位有符号二进制数的比较原理,可列出真值表。

(3)写逻辑表达式 用G i 表示A i >B i ,E i 表示A i =B i ,S i 表示A i <B i ,可得到输出变量G 、E 、S 的逻辑表达式:

01231232330

1230

123123233S E E E S E E S E G S E E E E E G E E E G E E G E S G +++==+++=

由前面介绍的1位比较器可知:

i

i i i i i i i i i i

i i B A S B A B A B A E B A G =⊕=+==

则4位有符号数值比较器的输出函数表达式可写成

01122331122332233330

123123233001122330

123001122331122332233330

123123233B A B A B A B A B A B A B A B A B A B A S E E E S E E S E G S B A B A B A B A E E E E E B A B A B A B A B A B A B A B A B A B A G E E E G E E G E S G ⊕⊕⊕+⊕⊕+⊕+=+++=⊕⊕⊕⊕==⊕⊕⊕+⊕⊕+⊕+=+++= 显然S 的值也可由其他两个值的输出得到,表达式为 E G S +=

(4)画逻辑图: 根据以上表达式,结合1位二进制数比较器的设计结果,可得到4位有符号二进制数比较器的逻辑图。

S

G

E

B

A B A B A B A

7.解:

由于有符号二进制补码数的最高位是符号位,符号位为“0”的数要比符号位为“1”的数大,当符号位相同时,以其余数值位的大小决定比较结果。因此有符号数的比较和无符号数的比较,差异仅在最高位,可将两个有符号数的最高位取反后,利用比较器74HC85进行比较。连线图如下:

V cc

V cc

01

8.解:

(1) 分析设计要求。4位二进制补码——原码转换器有4位补码输入,4位原码输出。 (2) 列真值表。设定变量:设4位补码输入变量为A (A 3A 2A 1A 0),4位原码输出变量为Y (Y 3Y 2Y 1Y 0),根据补码数转换为原码数的转换规则,可列真值表如下。

(3) 化简逻辑函数。由真值表可得到逻辑函数Y 3~Y 0的卡诺图,如下。

图2-59 4位原码-补码转换器卡诺图

由卡诺图化简,写出逻辑表达式如下:

0013011312

30122323

3A Y A A A A A A A Y A A A A A A A Y A Y =++=++==

(4) 画逻辑图。根据以上表达式,画出4位补码-原码转换器逻辑图如下图。

Y 3Y 2Y 1Y 0

9.解:

(1)分析设计要求

根据检奇电路的要求,电路需要3个输入信号、1个输出信号。 (2)列真值表

设定变量:用A 、B 、C 三个变量作为输入变量,用Y 作为输出变量。 根据题目要求,可列出真值表如下。

(3)化简逻辑函数

由真值表可画出卡诺图。

由卡诺图写出最简与或式如下 ABC

C B A C B A C B A Y +++=

(4)用译码器实现时,由于输入变量有3个,因此应选择3线-8线译码器(74HC138)。 若在电路连接时,将A 、B 、C 分别接到译码器的A 2、A 1、A 0端,即A 2 = A ,A 1 = B ,A 0 = C ,则上式可改写为:

12012012012A A A A A A A A A A A A ABC C B A C B A C B A Y +++=+++=

由74HC138的输出函数:

1240120A A A Y A A A Y ==

1250121A A A Y A A A Y ==

1260122A A A Y A A A Y ==

1270123A A A Y A A A Y ==

可得

7

421012012012012012012012012Y Y Y Y A A A A A A A A A A A A A A A A A A A A A A A A Y ???=???=+++=

根据以上逻辑表达式画出连线图如下:

A B C 1

Y

(5)用数据选择器实现时,由于输入变量个数为n=3,由i=n-1=3-1=2,可知,应选择4选1的数据选择器(74HC153)实现该函数功能。

若在电路连接时,将B 、C 分别接到数据选择器的S 1、S 0端,即

1S B = 0S C =

则检奇电路的输出表达式可改写为

0101010101010101S AS S S A S S A S S A S AS S S A S S A S S A ABC C B A C B A C B A Y +++=+++=+++=

由于4选1数据选择器的输出函数式为

013012011010S S I S S I S S I S S I Y +++=

显然,若要用数据选择器实现Y 函数,只须令

A I =0 A I =1 A I =2 A I =3

根据以上分析可知,如果将4选1数据选择器的输入端按以下关系连接,可实现检奇电路函数的功能。

B S =1

C S =0 A I =0

A I =1

A I =2

A I

=3

按以上关系式连接的连线图如下。

第3章

一、单选题

(1)C (2)C (3)A (4)A (5)A (6)D (7)C (8)A (9)C (10)D (11)C

(12)C

(13)C

(14)D

二、判断题

(1)× (2)× (3)× (4)√ (5)√ (6)× (7)√

(8)×

(9)√

(10)×

三、填空题

(1)保持、置0、置1,)(0

1约束条件?

?

?=+=+RS Q R S Q n n Clk ↓有效

(2)保持、置0、置1、翻转,n n

n Q K Q J Q +=+1

Clk ↓有效

(3)置0、置1,D Q

n =+1

Clk ↑有效

(4)保持、翻转,n

n n

n Q T Q T Q T Q ⊕=+=+1

Clk ↑有效

(5)翻转 (6)有效状态 (7)能自启动 (8)时序 (9)4 (10)6

四、综合题

(1)解:

S R Q Q

(2)解:

CP D Q

Q

(3)解:

J K Q Q

(4)解: 1)状态图

3)利用卡诺图化简

Q 2Q 1Q 0 /B

Q 2n+1

Q 1n+1

Q 0n+1

B

n

n n

n n n n n n n n n n n n n n n n n n n n Q Q Q B Q

Q

Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 0120

10

01010111012021201212)

(==⊕=+=+⊕=++=+++

4)由于D 触发器的特性方程Q n+1=D

显然 n

n

n n n n

Q D Q Q D Q Q Q D 000

110122)

(=⊕=+⊕=

5)画逻辑图

B

Cl k

6)画时序图

Q 0Q 1Q 2B

Cl k

(5)解:

2)写出输出函数、状态函数及特性函数

n 2

0n

1n 12n 2

1n 0n 0

1n 1n 11n 2n

1n 2Q D Q D Q D Q Q Q Q Q Q Q Q C =======+++ 3)分析能否自启动

存在无效状态,将n 0n 1n 2Q Q Q =010,代入次态方程,得1n 01n 11n 2Q Q Q +++=101,C=0; 将n 0n 1n 2Q Q Q =101,代入次态方程,得1n 0

1n 11n 2Q Q Q +++=010,C=1。 该电路是一个不能自启动的时序电路,需修改。

修改Q 0状态函数:

n n n n Q Q Q Q 01210+=+

使其驱动函数改为:n n n Q Q Q D 0120+=

将无效状态010、101分别代入状态函数,得 显然可以自启动。 4)逻辑图

5)时序图

CP

n 1Q n 2

Q n 0

Q C

(6)解:

n

0n 1Q Q Z =

{

n 100Q J 1k ==

{

n 011Q J 1k ==

代入n n 1n Q K Q J Q +=+中,得

n 0n 1n 0n 0n 11n 0Q Q Q 0Q Q Q =?+=+ n 0n 1n 1n 0n 11n 1Q Q Q 0Q Q Q =?+=+

状态图:

Q 0/Z

存在无效状态,能自启动。

时序图:

CP

n

1Q n 0

Q

Z

(7)解:

利用异步清零方式设计十进制计数器

由异步清零方式,当74HC161的计数值达到1010时,立刻产生清零信号。 即Q 3Q 2Q 1Q 0=1010时,使MR =0,对应的清零信号的逻辑关系是: n

n Q Q MR 13= 另外,当Q3Q2Q1Q0=1001时,应使进位C=1,对应的进位输出的逻辑关系是:

n

n Q Q C 03=

由上述清零逻辑及进位逻辑,可画出由74HC161及门电路构成十进制计数器的逻辑图,如下图所示。

(8)解:

方法一:两片74HC161各构造成十进制计数器后,两个十进制计数器级联构造100进制计数器。

用74HC161构造的十进制计数器如下图。

参照课本图3-74的方法级联后,100进制计数器的连线图如下图。

Vcc

Clk

C

(9)解:

设计出六进制计数器的输出函数及状态函数如下:

1

Q 0Clk

C

Q 1Q 2Q 3

1

Q 0Clk

C

Q 1Q 2Q 3

n

n n

n n n n n n n n n

n

n n n n n

Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q C 313020121201012110

1002=+=+===++++ 可写出激励函数如下:

???==???==?????==???==00113

3020

120

10

210

0K J Q K Q Q J Q K Q Q J K J n n

n n n

n

课本中已有十二进制计数器的激励函数及输出函数:

n

n n Q Q Q C 013=

???==?????==???==???==n n n n n

n n n

n n

n n

Q Q K Q Q Q J Q Q K Q Q Q J Q K Q J K J 0

1301230

120

132010

10

011

根据题目要求,既可实现六进制计数,又可实现十二进制计数的计数器输出函数及激励函数如下:

n

n n n n Q Q MQ Q Q M C 01302+=

?????=+=???==n n n

n Q K MQ Q Q M J K J 0

10

0210

011 ???==?????+=+=+=+=n n n

n n n

n n n n n n n n n n n n n n n Q MQ K Q Q MQ J Q Q Q M Q MQ Q M K Q Q Q Q Q M Q Q Q M Q Q M J 0

1301230

1001020

1301013012

EDA技术试验问答题答案(基本包含)

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA/CPLD在ASIC设计中有什么用途? 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 1-6 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

EDA技术实用教程课后习题答案

第一章 1-1 EDA 技术与ASIC 设计与FPGA 开发有什么关系? 答:利用EDA 技术进行电子系统设计得最后目标就是完成专用集成电路ASIC 得设计与实现;FPGA 与CPLD 就是实现 这一途径得主流器件。FPGA 与CPLD 通常也被称为可编程专用IC,或可编程ASIC。FPGA 与CPLD 得应用就是EDA 技术 有机融合软硬件电子设计技术、SoC(片上系统)与ASIC 设计,以及对自动设计与自动实现最典型得诠释。 1-2 与软件描述语言相比,VHDL 有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU 得机器代码,这种代码仅限于这种CPU 而不能移植,并且机器 代码不代表硬件结构,更不能改变CPU 得硬件结构,只能被动地为其特定得硬件电路结构所利用。综合器将VHDL 程序转化得目标就是底层得电路结构网表文件,这种满足VHDL 设计程序功能描述得电路结构,不依赖于任何特定硬 件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达得电路功能转化成具体得电路结构网表过程中,具 有明显得能动性与创造性,它不就是机械得一一对应式得“翻译”,而就是根据设计库、工艺库以及预先设置得各类约 束条件,选择最优得方式完成电路结构得设计。 l-3 什么就是综合?有哪些类型?综合在电子设计自动化中得地位就是什么? 什么就是综合? 答:在电子设计领域中综合得概念可以表示为:将用行为与功能层次表达得电子系统转换为低层 次得便于具体实现得模块组合装配得过程。 有哪些类型? 答:(1)从自然语言转换到VHDL 语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器 传输级(RegisterTransport Level,RTL),即从行为域到结构域得综合,即行为综合。(3)从RTL 级表示转换到逻 辑门(包括触发器)得表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到FPGA 得配置网表 文件,可称为版图综合或结构综合。 综合在电子设计自动化中得地位就是什么? 答:就是核心地位(见图1-3)。综合器具有更复杂得工作环境,综合器 在接受VHDL 程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关得工艺库信息,以及获得优化综 合得诸多约束条件信息;根据工艺库与约束条件信息,将VHDL 程序转化成电路实现得相关信息。 1-4 在EDA 技术中,自顶向下得设计方法得重要意义就是什么? P7~10 答:在EDA 技术应用中,自顶向下得设计方法,就就是在整个设计流程中各设计环节逐步求精得过程。 1-5 IP 在EDA 技术得应用与发展中得意义就是什么? P11~12 答:IP 核具有规范得接口协议,良好得可移植与可测试性,为系统开发提供了可靠得保证。 第二章 2-1 叙述EDA 得FPGA/CPLD 设计流程。P13~16 答:1、设计输入(原理图/HDL 文本编辑);2、综合;3、适配;4、时序仿真与功能仿真;5、编程下载;6、硬件测试。 2-2 IP 就是什么?IP 与EDA 技术得关系就是什么? P24~26 IP 就是什么? 答:IP 就是知识产权核或知识产权模块,用于ASIC 或FPGA/CPLD 中得预先设计好得电路功能模块。 IP 与EDA 技术得关系就是什么? 答:IP 在EDA 技术开发中具有十分重要得地位;与EDA 技术得关系分有软IP、 固IP、硬IP:软IP 就是用VHDL 等硬件描述语言描述得功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常就是以硬件描述语言HDL 源文件得形式出现。固IP 就是完成了综合得功能块,具有较大得设计深度,以网表文件 得形式提交客户使用。硬IP 提供设计得最终阶段产品:掩模。 2-3 叙述ASIC 得设计方法。P18~19 答:ASIC 设计方法,按版图结构及制造方法分有半定制(Semi-custom)与全定制(Full-custom)两种实现方法。 全定制方法就是一种基于晶体管级得,手工设计版图得制造方法。 半定制法就是一种约束性设计方式,约束得目得就是简化设计,缩短设计周期,降低设计成本,提高设计正确率。 半定制法按逻辑实现得方式不同,可再分为门阵列法、标准单元法与可编程逻辑器件法。 2-4 FPGA/CPLD 在ASIC 设计中有什么用途? P16,18 答:FPGA/CPLD 在ASIC 设计中,属于可编程ASIC 得逻辑器件;使设计效率大为提高,上市得时间大为缩短。 2-5 简述在基于FPGA/CPLD 得EDA 设计流程中所涉及得EDA 工具,及其在整个流程中得作用。P19~23 答:基于FPGA/CPLD 得EDA 设计流程中所涉及得EDA 工具有:设计输入编辑器(作用:接受不同得设计输 入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL 得文本输入方式。);HDL 综合器(作用: HDL 综合器根据工艺库与约束条件信息,将设计输入编辑器提供得信息转化为目标器件硬件结构细节得信息,并在 数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型得表达、 电子系统得建模、逻辑电路得验证及门级系统得测试);适配器(作用:完成目标系统在器件上得布局与布线);下 载器(作用:把设计结果信息下载到对应得实际器件,实现硬件设计)。 第三章 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL 就是怎样实现可编程组合电路与时序电路得。P34~36 OLMC 有何功能? 答:OLMC 单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器 输出、寄存器输出双向口等。 说明GAL 就是怎样实现可编程组合电路与时序电路得? 答:GAL(通用阵列逻辑器件)就是通过对其中得OLMC (输出逻辑宏单元)得编程与三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计 得。 3-2 什么就是基于乘积项得可编程逻辑结构? P33~34,40 答:GAL、CPLD 之类都就是基于乘积项得可编程结构;即包含有可编程与阵列与固定得或阵列得PAL(可编程阵

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

海大-EDA实验1参考答案

Laboratory Exercise 1 Switches, Lights, and Multiplexers ED实验参与答案 Part1 library ieee; use ieee.std_logic_1164.all; entity part1 is port(SW:in std_logic_vector(17 downto 0); LEDR:out std_logic_vector(17 downto 0)); end part1; architecture Behavior of part1 is begin LEDR <= SW; end Behavior; part2 library ieee; use ieee.std_logic_1164.all; --a 2 to 1 multiplexer entity entity mux21 is port(in_x, in_y, in_s:in std_logic; out_m:out std_logic); end mux21; --a 2 to 1 multiplexer architecture architecture structural of mux21 is signal u, v:std_logic; begin u <= in_x and (not in_s); v <= in_y and in_s ; out_m <= u or v ; end structural;

--a eight-bit wide 2 to 1 multiplexer library ieee; use ieee.std_logic_1164.all; --eight-bit wide 2 to 1 multiplexer entity entity mux21_8bit is port( SW: in std_logic_vector (17 downto 0); --SW: in std_logic_vector (15 downto 8); --SW: in std_logic_vector (17 downto 17); LEDR: out std_logic_vector (7 downto 0)); end mux21_8bit; --eight-bit wide 2 to 1 multiplexera rchitecture architecture Structural of mux21_8bit is component mux21 port(in_x, in_y, in_s:in std_logic; out_m:out std_logic); end component; begin U1:mux21port map (in_x=>SW(0), in_y=>SW(8), in_s=>SW(17), out_m=>LEDR(0)); U2:mux21port map (in_x=>SW(1), in_y=>SW(9), in_s=>SW(17), out_m=>LEDR(1)); U3:mux21port map (in_x=>SW(2), in_y=>SW(10), in_s=>SW(17), out_m=>LEDR(2)); U4:mux21port map (in_x=>SW(3), in_y=>SW(11), in_s=>SW(17), out_m=>LEDR(3)); U5:mux21port map (in_x=>SW(4), in_y=>SW(12), in_s=>SW(17), out_m=>LEDR(4)); U6:mux21port map (in_x=>SW(5), in_y=>SW(13), in_s=>SW(17), out_m=>LEDR(5)); U7:mux21port map (in_x=>SW(6), in_y=>SW(14), in_s=>SW(17), out_m=>LEDR(6)); U8:mux21port map (in_x=>SW(7), in_y=>SW(15), in_s=>SW(17), out_m=>LEDR(7)); end Structural; part3 library ieee; use ieee.std_logic_1164.all; --a 2 to 1 multiplexer entity entity mux21 is port(in_x, in_y, in_s:in std_logic; out_m:out std_logic);

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数字逻辑课后习题答案(科学出版社_第五版)

第一章开关理论基础1.将下列十进制数化为二进制数和八进制数 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.1111 7.74 79.43 10011001.0110111 231.334 2.将下列二进制数转换成十进制数和八进制数 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3.将下列十进制数转换成8421BCD码 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4.列出真值表,写出X的真值表达式 A B C X 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0

1 0 1 1 1 1 0 1 1 1 1 1 X=A BC+A B C+AB C+ABC 5.求下列函数的值 当A,B,C为0,1,0时:A B+BC=1 (A+B+C)(A+B+C)=1 (A B+A C)B=1 当A,B,C为1,1,0时:A B+BC=0 (A+B+C)(A+B+C)=1 (A B+A C)B=1 当A,B,C为1,0,1时:A B+BC=0 (A+B+C)(A+B+C)=1 (A B+A C)B=0 6.用真值表证明下列恒等式 (1) (A⊕B)⊕C=A⊕(B⊕C) A B C (A⊕B)⊕C A⊕(B⊕C) 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 所以由真值表得证。 (2)A⊕B⊕C=A⊕B⊕C

EDA技术与VHDL基础课后复习题答案

《EDA技术与VHDL基础》 课后习题答案 第一章 EDA技术概述 一、填空题 1、电子设计自动化 2、非常高速集成芯片硬件描述语言 3、CAD、CAE、EDA 4、原理图输入、状态图输入、文本输入 5、VHDL、Verilog HDL 6、硬件特性 二、选择题 1、A 2、C 3、A 4、D 5、C 6、D 7、A 第二章可编程逻辑器件基础 一、填空题 1、PLD 2、Altera公司、Xilinx公司、Lattice公司 3、基于反熔丝编程的 FPGA 4、配置芯片 二、选择题 1、D 2、C 3、C 4、D 第三章 VHDL程序初步——程序结构 一、填空题 1、结构、行为、功能、接口 2、库和程序包、实体、结构体、配置 3、实体名、类型表、端口表、实体说明部分

4、结构体说明语句、功能语句 5、端口的大小、实体中子元件的数目、实体的定时特性 6、设计库 7、元件、函数 8、进程PROCESS、过程PROCEDURE 9、顺序语句、并行语句 二、选择题 1、D 2、C 3、C 4、B 5、D 6、B 7、A 8、C 三、简答题 2、 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY nand_3in IS PORT(a,b,c:IN STD_LOGIC; y:OUT STD_LOGIC); END; ARCHITECTURE bhv OF nand_3in IS BEGIN y<=NOT(a AND b AND c); END bhv; 5、0000 6、11110111(247) 第四章 VHDL基础 一、填空题 1、顺序语句、并行语句 2、跳出本次循环 3、等待、信号发生变化时 4、函数、过程 5、值类属性、函数类属性、信号类属性、数据类型类属性、数据范围类属性 6、程序调试、时序仿真 7、子程序、子程序 二、选择题

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑电路(王秀敏主编)课后习题答案第二章

第1章 概述 检 测 题 一、填空题 1. 在时间和数值上都是连续变化的信号是_______信号;在时间和数值上是离散和量化 的信号是_______信号。 2. 表示逻辑函数常用的方法有4种,它们是_______,________,________,_______。 3. 正逻辑体制高电平用逻辑_____表示,低电平用逻辑_____表示。 4. 任何进位计数制,数值的表示都包含两个基本的要素:_______和_______。 5. 102816(9 6.75)( )( )( )=== 二、请完成下列题的进制转换 1.210(1011001)( )= 810(736.4)( )= 1610(34)( )F C = 2.112(30)( )= 102(16.6875)( ) = 3.28(1011101)( )= 28(1010010.11010)( )= 4.82(127.65)( )= 162(9.16)( ) A = 5.216(1110101100)( )= 216(1111.001)( ) =

三、选择题 1.在下列各数中,最小的数是( ) (a) 2(101001) (b) 8(52) (c) 16(2)B (d) 10(96) 2. 8421(100110000110)( )BCD 余3BCD (A)100110001001 (B)100110001000 (C)110010000110 (D)101100001100 四、简述题 1.为什么在数字系统中通常采用二进制/ 2.何为进位计数制? 何为码制? 何为正、负逻辑? 3.算术运算、逻辑运算和关系运算的区别? 检测题答案 一、填空题 1. 答案:模拟,数字 2. 答案:真值表,逻辑函数式,逻辑图,卡诺图。 3. 答案:1,0;0,1 4. 答案:基数,位数 5. 答案:1100000.11,140.6,60.0 二、请完成下列题的进制转换 1. 89; 478.5; 8012 2. 11110; 10000.1011 3. 135; 122.62 4. 1010111.110101; 10011010.00010110 5. 3AC ; F.2 三、选择题 1.答案:A 2. 答案:A 四、简述题 答案:略

eda实验课后习题答案

1.功能仿真和时序仿真有何不同?为什么? 答:EDA 中功能仿真是纯理论的仿真,功能仿真不考虑信号传送 过程中的延迟。仿真结果可以和我们的真值表对应起来。而时序仿真则要考虑信号传送过程中的延迟,有可能出现竞争冒险等。时序仿真比较接近实际。由图(2)(3)中可知时序仿真中的波形有一小段时间比功能仿真中的波形多了一个BCD码--13。因为功能仿真只是考虑元件的理想功能,而时序仿真考虑到实际元器件的信号延时、输入/输出时间的延时、触发器的建立/保持时间、寄存器的性能等等 1,什么是同步清零和异步清零? 同步清零就是把清零信号和时钟信号与或者与非处理后输入到清零端,异步清零的清零信号直接输入到清零端。 同步清零可以保证状态在时钟的有效期内不会改变。就是说,同步清零要与时钟同步触发,而异步清零就不关心时钟上升沿是否到来。 2,BCD计数器和一般二进制计数器有何差别? 用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码。称BCD码或二-十进制代码,亦称二进码十进数。是一种二进制的数字编码形式,用二进制编码的十进制代码。 由于十进制数共有0、1、2、……、9十个数码,因此,至少需要4位二进制码来表示1位十进制数。4位二进制码共有2^4=16种码组,在这16种代码中,可以任选10种来表示10个十进制数码,共有N=16!/(16-10)!约等于2.9乘以10的10次方种方案。 二进制计数器是数字系统中用得较多的基本逻辑器件。它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。 计数器的种类很多。按时钟脉冲输入方式的不同,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器和非二进制计数器;按计数过程中数字增减趋势的不同,可分为加计数器、减计数器和可逆计数器 3. 键盘为什么要防抖动?如何防抖动? 主要目的是为了提高按键输入可靠性,由于机械触点的弹性振动,按键在按下时不会马上稳定地接通而在弹起时也不能一下子完全地断开,因而在按键闭合和断开的瞬间均会出现一连串的抖动,这称为按键的抖动干扰。按键的抖动会造成按一次键产生的开关状态被CPU 误读几次。为了使CPU 能正确地读取按键状态,必须在按键闭合或断开时,消除产生的前沿或后沿抖动。去抖动的方法有硬件方法和软件方法两种。硬件方法是设计一个滤波延时电路或单稳态电路等硬件电路来避开按键的抖动时间。软件方法是指编制一段时间在5 - 10ms 的延时程序,在第一次检测到有键按下时,执行这段延时子程序使键的前沿抖动消失后再检测该键状态,如果该键仍保持闭合状态电平,则确认为该键已稳定按下,否则无键按下,从而消除了抖动的影响。 1、所设计的频率计有测量误差吗?误差是多少?如何减少误差? 答:有误差;一个周期;减少误差的方法是进行多次测量再取平均值或把待 测信号先多次移相测量再取平均值。 2、为什么需要锁存器?锁存器锁存信号应在什么时刻锁存?为什么? 锁存器的作用是锁存计数器的计数结果,锁存信号Load上升沿有效,当控制模块的load 信号有效时,锁存器立即锁存计数器记录的频率值,送到译码器译码,然后送到数码管显示。锁存器输出端的状态不会随输入端的状态变化而变化,只有在有锁存信号时输入的状态被保

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑第六版白中英课后习题答案

第四章习题答案 1.设计4个寄存器堆。 解: 2. 设计具有4个寄存器的队列。 解: 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。 4.SRAM、DRAM的区别 解:DRAM表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM拥有更高的密度,常常用于PC中的主存储器。 SRAM是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM要快。SRAM常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM采用行选通和列选通 解:DRAM存储器读/写周期时,在行选通信号RAS有效下输入行地址,在列选通信号CAS有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM实现二进制码到余3码转换 解:真值表如下: 8421码余三码 B B2B1B0G G2G1G0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 10 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 最小项表达式为: G=∑)9,8,7,6,5(G2=∑)9,4,3,2,1(G1=∑)8,7,4,3,0(G0=∑)8,6,4,2,0( 33 3

相关主题
文本预览
相关文档 最新文档