当前位置:文档之家› 数字电路实验报告

数字电路实验报告

数字电路实验报告

数字电路实验报告

引言

数字电路是现代电子技术中的重要组成部分,它在计算机、通信、嵌入式系统

等领域发挥着重要作用。本次实验旨在通过设计和实现几个基本的数字电路电路,加深对数字电路原理和设计的理解。

一、二进制加法器的设计与实现

在数字电路中,二进制加法器是最基本的电路之一。我们通过实验设计了一个

4位二进制加法器,并对其进行了验证。首先,我们使用逻辑门电路实现了半

加器和全加器,并将它们进行了级联。然后,我们使用逻辑门电路搭建了4位

二进制加法器电路,并通过逻辑分析仪验证了其正确性。

二、时序电路的设计与实现

时序电路是数字电路中非常重要的一类电路,它涉及到电路中信号的时序关系。在本次实验中,我们设计了一个简单的时序电路——计数器电路。我们使用JK

触发器和逻辑门电路搭建了一个4位二进制计数器,并通过示波器观察了计数

器的输出波形。实验结果表明,计数器能够按照预期进行计数,并且输出波形

稳定。

三、组合逻辑电路的设计与实现

组合逻辑电路是由多个逻辑门电路组合而成的电路,它的输出仅仅取决于当前

输入信号的状态,而与过去的输入信号状态无关。在本次实验中,我们设计了

一个4位二进制比较器电路。我们使用逻辑门电路搭建了比较器,并通过逻辑

分析仪验证了其正确性。实验结果表明,比较器能够准确判断两个4位二进制

数的大小关系。

四、存储器电路的设计与实现

存储器是计算机系统中非常重要的组成部分,它用于存储和读取数据。在本次实验中,我们设计了一个简单的存储器电路——SR锁存器。我们使用逻辑门电路搭建了SR锁存器,并通过示波器观察了其输出波形。实验结果表明,SR锁存器能够正确地存储和读取数据。

五、总结与展望

通过本次实验,我们深入学习了数字电路的基本原理和设计方法。我们了解了二进制加法器、时序电路、组合逻辑电路和存储器电路的设计与实现过程,并通过实验验证了它们的正确性。通过这些实验,我们对数字电路的工作原理和应用有了更深入的了解。在未来,我们将进一步学习和探索数字电路的高级应用,为实际工程项目提供更好的支持。

结语

数字电路实验是电子工程专业学生必不可少的一部分,通过实验我们不仅能够巩固理论知识,还能够培养动手能力和解决问题的能力。本次实验的设计与实现让我更加深入地理解了数字电路的原理和设计方法,为我今后的学习和研究打下了坚实的基础。希望通过今后的努力,我能够在数字电路领域取得更多的成果。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验报告

数字电路实验报告 数字电路实验报告 引言 数字电路是现代电子技术中的重要组成部分,它在计算机、通信、嵌入式系统 等领域发挥着重要作用。本次实验旨在通过设计和实现几个基本的数字电路电路,加深对数字电路原理和设计的理解。 一、二进制加法器的设计与实现 在数字电路中,二进制加法器是最基本的电路之一。我们通过实验设计了一个 4位二进制加法器,并对其进行了验证。首先,我们使用逻辑门电路实现了半 加器和全加器,并将它们进行了级联。然后,我们使用逻辑门电路搭建了4位 二进制加法器电路,并通过逻辑分析仪验证了其正确性。 二、时序电路的设计与实现 时序电路是数字电路中非常重要的一类电路,它涉及到电路中信号的时序关系。在本次实验中,我们设计了一个简单的时序电路——计数器电路。我们使用JK 触发器和逻辑门电路搭建了一个4位二进制计数器,并通过示波器观察了计数 器的输出波形。实验结果表明,计数器能够按照预期进行计数,并且输出波形 稳定。 三、组合逻辑电路的设计与实现 组合逻辑电路是由多个逻辑门电路组合而成的电路,它的输出仅仅取决于当前 输入信号的状态,而与过去的输入信号状态无关。在本次实验中,我们设计了 一个4位二进制比较器电路。我们使用逻辑门电路搭建了比较器,并通过逻辑 分析仪验证了其正确性。实验结果表明,比较器能够准确判断两个4位二进制

数的大小关系。 四、存储器电路的设计与实现 存储器是计算机系统中非常重要的组成部分,它用于存储和读取数据。在本次实验中,我们设计了一个简单的存储器电路——SR锁存器。我们使用逻辑门电路搭建了SR锁存器,并通过示波器观察了其输出波形。实验结果表明,SR锁存器能够正确地存储和读取数据。 五、总结与展望 通过本次实验,我们深入学习了数字电路的基本原理和设计方法。我们了解了二进制加法器、时序电路、组合逻辑电路和存储器电路的设计与实现过程,并通过实验验证了它们的正确性。通过这些实验,我们对数字电路的工作原理和应用有了更深入的了解。在未来,我们将进一步学习和探索数字电路的高级应用,为实际工程项目提供更好的支持。 结语 数字电路实验是电子工程专业学生必不可少的一部分,通过实验我们不仅能够巩固理论知识,还能够培养动手能力和解决问题的能力。本次实验的设计与实现让我更加深入地理解了数字电路的原理和设计方法,为我今后的学习和研究打下了坚实的基础。希望通过今后的努力,我能够在数字电路领域取得更多的成果。

数字电路实验报告

目录 实验一四位海明校验码的逻辑设计2实验二十六进制译码计数器的设计 6 实验三脉冲分频逻辑电路的设计10 实验四八位数据串入并出逻辑设计16 实验五十六位运算器的逻辑设计20 实验六4Kx8bit存储器的设计25

实验一四位海明校验码的逻辑设计 实验目的: 掌握海明校验的编码原理以及设计、调试方法,巩固提高组合逻辑知识,培养实际动手能力。掌握总线的应用方法。掌握总线信息出错时发现错我和纠正错我的原理,掌握奇偶校验的原理,掌握海明校验编码原理以及设计、调试方法。 实验要求: (1)设计信息位为4位的内存的海明校验逻辑电路,在读内存储器时,具有一位出错报错和纠正一位错误的功能。 (2)为了难其正确性,在读出信息的通路上,要串入造错用逻辑,位数自定。 (3)奇偶发生器与海明校难器对同一位用一块奇偶校验集成块 实验原理: 检错和校错 由编码理论,任何一种编码是否具有检测和纠错能力,都与编码的最小距离有关,即任何两组合法代码间最少的二进制位数的差异,L-1=D+C(D>=C)L为编码的最小距离,D 表示检测错误的位数;C表示纠正错误的位数。 故,8421码不具备检错能力,这是因为它的最小码距为1,当8421码的码字中有一位出错,而产生的错误代码就有可能是另一个码字,这样,无法判断它是否已出错。 8421海明校验码 8421海明校验码由8421码加三位校验码组成.设8421码为I1 I2 I3 I4,三位校验码为:P3 P2 P1,则8421码为下列七位代码:

校验码的值由下式确定: P3I4I3I2=⊕⊕ P2I4I3I1=⊕⊕ P1I4I2I1=⊕⊕ 由此可得8421海明码的最小码距为3 ,故D=1,C=1,可检测并纠正一位错误。输出时在输出端先求出校验和: S3I4I3I2P3=⊕⊕⊕ S2I4I3I1P2=⊕⊕⊕ S1I4I2I1P1=⊕⊕⊕ 然后判断S3S2S1,如果代码不出错,则S3S2S1=000,否则由S3S2S1构成的二进制数为1位指出出错位。 实验实现: 1.利用Quartus II 工具,画出实验的TTl 电路如下图 经过编译仿真之后,仿真波形图如下图: 位序 7 6 5 4 3 2 1 8421海明 码 I4 I3 I2 P3 I1 P2 P1

数电实验报告总结

数电实验报告总结 相关热词搜索:数电实验报告电子时钟数电实验报告闹钟大一数电实验报告八扬州大学数电实验报告 篇一:上海大学数字电路实验报告 数字电路实验报告 实验名称加法器班级机械10班 学生姓名张俊楠学号所在专业 上海大学 二? 年月日 篇二:数电实验课程设计总结报告(电子表) 数字电路课程设计数字定时器: 课程设计任务书: )集成数字定 1 时器 2)技术指标 1、设计一个数字定时器,要求它具有数字钟的功能,又可以按预定时刻发 出控制信号对被控对象实施开关控制 2、时钟功能:具有24小时计时方式,显示时、分、秒。计时范围要求自00 点00分00秒到23点59分59秒 3、要求具有校时电路,可对小时、分、秒分别校准。 4、可以同时设置四个以上的预定时刻,时刻的预选以5分钟为单位。 、被控对象在 5 达到预选时刻后,电铃连续响10秒,而监听器在10秒内断 续鸣叫5次,即想一秒停一秒。

集成数字定时器的组成和工作原理 数字定时器一般由振荡器、分频器、计数器、译码器、显示器及部分扩展电路等组成,其基本逻辑功能框图如下所示: 数字电子钟的基本组成: 振荡器 振荡器是数字电子钟的核心,其作用是产生一个频率标准,即时间标准信号,然后再由分频器生成秒脉冲,所以,振荡器频率的精度和稳定度就基本决定了数字电子钟的准确度,为产生稳定的时间标准信号,一般采用石英晶体振荡器。如果精度要求不是很高的话我们可以采用由集成逻辑门与RC组成的时钟源振荡器。一般而言,选用石英晶体振荡器所选用的晶振频率为32768Hz,再通过15级2分频集成电路得到1Hz的标准秒脉冲。 分频器 振荡器产生的时标信号频率很高,要使它变成用来计时的“秒”信号,需要若干级分频电路,分频器的级数和每级分频次数要根据时标信号的频率来决定。其功能主要有两个:一是产生标准秒脉冲信号,二是提供功能扩展电路所需的信号。 计数器 有了“秒”信号了就可以根据60秒为一分,60分为一小时,24小时为一天的进制,分别选定没“秒”、“分”、“时”的计数器。从这些计数器的输出可得到一分、一小时、一天的时间进位信号。在秒计数器钟因为是60进制通常用两个十进制计数器的集成片组成,其中秒个位是十进制的、十位是6进制的。可采用反馈归零法变“秒”十位为6进制,实现秒的60进制,同样,分计数器的与秒的一样,只是时计数器里需要变成24进制,也用反馈归零法实现。 译码器及显示器 因为计数器全

数字电路实验报告

数字电路课内大作业 作业选择:交通灯控制器和智能数字时钟设计 一、实验目的 提高对课本知识的深刻理解,熟悉数字电路设计的主要方法和 思想,锻炼自己的独立思考能力和动手能力,灵活运用所学习 的知识,加强自己发现问题解决问题的能力,把理论知识学以 致用。 交通灯控制器 二、实验主要器材以及仿真原件 电脑软件:Multisim12.0原件清单:七段显示数码管2个、指 示灯6个、74LS160D芯片两块、74LS194D芯片两块、单刀双置 开关1个、74LS112D芯片2块、非门若干、与非门、与门等。 三、设计思路、过程以及设计原理 设计交通灯首先需要明确交通灯的工作原理,交通灯是工作在交叉路口处,控制车辆,目的是交通正常运行,减少事故的发生,交通信号灯由红灯、绿灯、黄灯组成。红灯表示禁止通行,绿灯表示准许通行,黄灯表示警示。他们具体指示的信息为红灯亮,禁止直行或左转弯,在不碍行人和车辆情况下,允许车辆右转弯;绿灯亮,准许车辆直行或转弯;黄灯亮,停在路口停止线或人行横道线以内,已经继续通行;黄灯闪烁时,警告车辆注意安全。 在本实验设计的交通灯中,它主要可以实现的功能为:一个循环周期共有48s,在一个循环周期内红灯点亮24s,绿灯点亮20s,黄灯

电路中使用的各个模块的介绍: 74LS194介绍:74LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHZ,其逻辑符号及引脚排列如下图所示:

其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR--右移串引输入端;SL--左移串引输入端;S1、S0-操作模式控制端;-为直接无条件清零端;CP-为时钟脉冲输入端。74LS194模式控制及状态输出如下表所示。 用74LS194构成模12扭环计数器:两片74LS194芯片可构成8位右移移位寄存器,按照下图所示的接法可以构成模12计数器

数电实验报告最终版

数字系统设计基础实验报告 学院:计算机学院 班级:031014班 姓名:陈强 学号:03101327

实验一基本逻辑门电路实验 一、实验目的 1.掌握TTL与非门,与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中,小规模集成电路的外形,管脚和使用方法。 二、实验所用器件 1.二输入四与非门74LS00 1片 2.二输入四或非门74LS02 1片 三、实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验接线图及实验测试 1.测试74LS00逻辑关系

结论:一个与非门当两个输入为高电平时输出为低电平,其它输入时输出为高电平。 2.测试74LS86逻辑关系 结论: 为低电平。 实验二组合逻辑电路部件试验 一. 实验目的: 1、掌握逻辑电路设计的基本方法; 2、掌握EDA软件工具MAX—PlusII的原理图输入方法; 3、掌握MAX—PlusII的逻辑电路编译、波形仿真的方法 二.实验内容: 1、内容:3-8译码器(74LS138)的波形仿真 器件:3-8译码器

3-8译码器原理图 3-8译码器波形图 2、设计一个2-4译码器(功能要求见真值表)

表中E 为允许使能输入端,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出,∮是任意状态。 2-4译码器原理图 2-4译码器波形图 3、设计并实现一个4位二进制全加器

(1)二进制全加器原理: 两个n位二进制数相加的加法运算电路是由一个半加器和(n-1)个全加器组成。它把两个n位二进制数(A,B)分别作为输入信号。产生一个(n+1)位二进制数作它的和数(Cn-1,S)。一个n位二进制加法器的方框图如下图所示。图中A和B是用来相加的两个n位输入信号,Cn-1,Sn-1,Sn-2,……S2,S1,S0是它们的和数。在该电路中对A0和B0相加用一个半加器,对其它位都用全加器。如果需要,串接这些电路以扩充相加的为数,那么它的第一级也必须是全加器。下图表示用全加器实现的加法器电路。 二进制加 法器原理图 … 下面是全加器的n位二进制加法原理图: Bn-1 Bn-2 B1 A1 B0 A0 (2)实验步骤: ①设计1位二进制全加器,其逻辑表达式如下: Sn=An○+Bn○+Cn-1 Cn=AnB0+Cn-1(An○+Bn) An是被加数, Bn是加数,Sn是和数,Cn是向高位的进位,Cn-1是低位的进位。 ②利用1位二进制全加器构成一个4位二进制全加器,进行仿真。设计的原理图如下:

数电实验报告:数码管显示控制电路设计

数字电子技术实验报告 实验五:数码管显示控制电路设计 一、设计任务与要求: 能自动循环显示数字0、1、2、3、4、1、3、0、2、4。 二、实验设备: 1、数字电路实验箱; 2、函数信号发生器; 3、8421译码器; 4、74LS00、74LS10、74LS90。 三、实验原理图和实验结果: 1、逻辑电路设计及实验原理推导: 将0、1、2、3、4、1、3、0、2、4用8421码表示出来,如下表: 表一用8421码表示 设想用5421码来实现8421码表示的0、1、2、3、4、1、3、0、2、4,故将0、1、2、3、4、5、6、7、8、9用5421码表示出来以与上表做对比: 表二用5421码表示:

观察表一,首先可得到最高位全为0,故译码器的“8”直接接低电平即可;对比表一和表二得,“4”位上的数字两表表示的数字是一样的,故“4”直接与5421码的“4”输出相连即可,即译码器的“4”连74LS90的“Q 3”端;表一的“2”位上的数字前五行与表二的“2”位上的数字前五行显示的一样,此时表二的“5”位上的数字均为0,表一的“2”位上的数字后五行与表二的“1”位上的数字后五行一样,此时表二上的“5”位上的数字均为1,故译码器的“2”要接的是实现函数表达式为1020Q Q Q Q +的电路;最后一位上没有明显的规律,可用卡诺图求得逻辑表达式,也即译码器的“1”要连接的是实现函数表达式为230130Q Q Q Q Q Q +的电路。至此,实验原理图即可画出了。 2、 实验原理图:

3、实验结果: 编码器上依次显示0、1、2、3、4、1、3、0、2、4。实验结果图如下:

深大数字电路实验报告2-数据选择器

深大数字电路实验报告2-数据选择器 一、实验目的 1、了解数据选择器的原理和应用; 2、熟悉74LS151的管脚及功能; 3、学会使用示波器观测信号波形。 二、实验设备 1、数字示波器; 2、电源模块; 3、实验板; 4、74LS151芯片; 5、连接线。 三、实验原理 数据选择器是一种器件,用来通过选择输入端其中之一的信号并输出到输出端,选择的输入信号通路称作选择通路,一个数据选择器可以有一至多个选择通路。数据选择器可以被用来联结不同的输入装置,也可用来选择来自多个输入信源的信号。 74LS151是一种8:1数据选择器。如图1,其功能原理图如下。数据输入是通过双向操作的输入/输出端A-H送入芯片内部,通过控制信号S2,S1,S0的组合,任意选择输入端口之一,将其输出到Y输出端口。 图1 74LS151功能原理示意图 四、实验步骤 1、根据原理图和芯片管脚功能,插上74LS151芯片; 2、将电源正负极插入电源模块的正负极; 3、将电源模块与实验板连接; 4、将8位数据总线分别连接到74LS151的A-H端口;

5、将74LS151的输出端口Y连接到示波器Channel 1通道的输入端口,并调节示波器旋钮; 6、按照实验原理,控制74LS151的S2,S1,S0三个端口的信号,从而控制哪一个输入端口输出到输出端口Y;通过观察Channel 1通道上的波形,效验芯片功能是否正确。 五、实验数据与分析 1、通过8个led灯亮灭情况,依次检验实验板的数据线是否接通,并确认数据的正确性; 2、利用示波器观察Channel 1通道上的波形,控制输入端口的改变,确认芯片性能是否正确; 3、通过实验结果,比较不同的S2,S1,S0信号组合,可以直接得到输出的数据来源,从而实现不同输入信号的选择。 六、实验心得 本次实验通过使用74LS151芯片,实现了数据选择器的基本功能,以及掌握了数字电路实验中使用示波器的方法。通过实验,我深刻理解到数字电路实验的重要性,为今后的电子技术学习打下了坚实的基础。

数字电路译码器实验报告

数字电路译码器实验报告 一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件;2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC 码的十个代码译成十个高、低电平信号。3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B 数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能

将一片3线—8线译码器74LS138插入RXB-1B 数字电路实验箱的IC 空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC 端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4 、Y3 、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB —IB 型数字电路实验箱的电源“ ”,16号引脚+5V 接至RXB-1B 数字电路实验箱的电源“ +5V ”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED )的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线- 8线译码器 74LS138功

数字电路实验报告1. 门电路逻辑功能及测试

门电路逻辑功能及测试 1.实验目的 ➢熟悉门电路逻辑功能; ➢掌握数字示波器的使用方法。 2.预习要求 ➢复习门电路工作原理及相应逻辑表达式; ➢阅读本实验所用各门电路IC 的数据手册; ➢熟悉所用集成电路的引线位置及各引线用途; ➢了解数字示波器使用方法。 3.实验器材 4.实验内容 4.1测试门电路逻辑功能 ⑴ 选用双四输入与非门74LS20 一只,插入面包板,按图1.1 接线 ⑵ 将逻辑电平开关按表 1.1 状态转换,测出输出逻辑状态值及电压值填表。

表 1.1 00.123 1 4.02 1 4.02 1 4.02 1 4.02 4.2 逻辑电路的逻辑关系 ⑴ 用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻 辑关系分别填入表1.2,表1.3 中。 0 0 0 1 1 0 1 1 0 0 0 1 ⑵ 写出两个电路的逻辑表达式。 Y=A'B+AB' Z=AB

4.3利用与非门控制输出 用一片 74LS00 按图 1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 在下面画出波形图: 4.3.1 4.3.2 4.4用与非门组成其他门电路 ⑴ 组成或非门:

1 0 0 0 0 1 1 0 用一片二输入端四与非门组成或非门 画出电路图,测试并填表1.4。 ⑵ 组成异或门: ①将异或门表达式转化为与非门表达式: A'B+AB'=[(A'B+AB')']'=[(A'B)'(AB')']' ②画出逻辑电路图 ③测试并填表 1.5。 4.5异或门逻辑功能测试 选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。将电平开关按表

【最新】西南交大,数字电路,实验报告-word范文 (8页)

本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除! == 本文为word格式,下载后可方便编辑和修改! == 西南交大,数字电路,实验报告 篇一:数字电子技术实验报告 数字电子技术实验报告 姓名:尚朝武学号:201X0123400044 实 验时间:201X-12-24 实验一(一) 1、实验内容: (1用静态法测试74LS00与非门电路的逻辑功能 2、实验原理图如图 1.11 3、实验步骤: 1) 用万用表测量双路跟踪稳压电源中的+5V电源电压; 2) 检查无误后引用通 用接插板; 3) 在芯片盒中找到74LS00芯片并插入通用接插板上; 4) 测试与非门的逻辑 功能 A. 按图1.1接线,检查接线无误后通电;; B. 设置输入变量A、B的高(H)、低(L)电平,并分别测量与非门的输出电 压U;(U>3.6V时,则Y=H(1);反之,Y=L(0))。 5)用万用表测量输出电压,并将结果填入表1.1.1中 4、实验结果见表1.1.1 表1.1.1 (二 1、实验内容 用动态测试法验证图(a)、(b)、(c)的输入输出波形。 2、实验原理 图图图

图(表)d74ls86管脚图和引脚图及真值表 3、实验步骤 1)利用实验一——(一)的双路跟踪稳压电源中的+5V电源电压; 2)检查无 误后引用通用接插板; 3)在芯片盒中分别找到74LS86、74LS60芯片并分别插入通用接插板上; 4) 分次按图a、b、c、d接线,检查接线无误后通电;设置输入变量A的信号为100kHz 5)分别记下数字显示器显示的波形。 4、实验结果见下图 图a的输入(图上)、输出(图下)波形 图b的输入(图上)、输出(图下)波形 三) 图c的输入(图上)、输出(图下)波形 1、实验内容: (1用静态法测试74LS139静态译码器的逻辑功能 2、实验原理图如图A、B 3、实验步骤: 1) 利用实验一——(一)的双路跟踪稳压电源中的+5V电源电压; 2) 检查无 误后引用通用接插板; 3) 在芯片盒中找到74LS139芯片并插入通用接插板上; 4) 测试74LS139译码器的逻辑功能 a) 按图1.1接线,检查接线无误后通电;; b) 设置输入变量A、B及E的高(H)、低(L)电平,并分别测量74LS139的 输出电压U;(U>3.6V时,则Y=H(1);反之,Y=L(0)); 5)用万用表测量输 出电压,并将结果填入表1.2中 4、实验结果见表 1.2 图A 74LS139的管脚图 篇二:201X-201X西南交大数字电路第1次作业 (注意:若有主观题目,请按照题目,离线完成,完成后纸质上交学习中心, 记录成绩。在线只需提交客 观题答案。)

数字电路数字时钟课程实验报告

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 译码器译码器译码器时计数器分计数器秒计数器校时电路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三 60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下: 图四 60进制--分计数电路 24进制——时计数电路 来自分计数电路的进位脉冲使时的个位加,个位计数器由0增加到9是产生进位,连在十位计数器脉冲输入端CP,当十位计到2且个位计到3是经过74LS11与门产生一个清零信号,将所有CD40110清零。其电路图如下:

数字电路实验报告范文

一、设计目的及要求: 〔一〕实验目的: 1. 通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力以及团队精神。 2. 通过本实验要求学生熟悉各种常用中规模集成电路组合逻辑电路的功能与使用方法,学会组装和调试各种中规模集成电路组合逻辑电路,掌握多片中小规模集成电路组合逻辑电路的级联、功能扩展及综合设计技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。 〔二〕实验要求 1. 数字显示电路操作面板:左侧有16个按键,编号为0到15数字,面板右侧有2个共阳7段显示器。 2. 设计要求:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示1。假设同时按下几个按键,优先级别的顺序是15到0。 二、电路框图及原理图 原理图概要: 数字显示电路由键盘、编码、码制转换、译码显示组成。

各局部作用: 1.键盘: 用于0~15数字的输入。可以由16个自锁定式的按键来排列成4×4键盘。 2.编码: 采用两片74LS148级联来完成对0~15的编码,并且是具有优先级的编码。 3.码制转换: 本电路采用了2个74LS00、1个74LS04、1个74LS283来完成对0~15出事编码的码制转换,转换成个位与十位的8421BCD码,为下一步的解码做准备。 4.译码显示: 本电路采用了两个74LS47分别对码制转换后的BCD码进展译码,并且由这两个芯片分别驱动两片七段共阳极数码管。 原理图: 三、设计思想及根本原理分析: 设计原理: (1)编码:用预先规定的方法将文字、数字或其他对象编成数码,或将信息、数据转换成规定的电脉冲信号。本实验将编码局部 每一个编码芯片只将0-7分别编码为8421BCD码。 (2)码制转换:将两片74LS148编码的结果分别转化成个位和十位的BCD码输入到下一级。

数字电路仿真实训实验报告

课程设计(大作业)报告 课程名称:数字电子技术课程设计 设计题目:多功能数字时钟的设计、仿真 院系:信息技术学院 班级:二班 设计者:张三 学号:79523 指导教师:张延 设计时间:2011年12月19日至12月23日信息技术学院

昆明学院课程设计(大作业)任务书

一、设计目的 为了熟悉数字电路课程,学习proteus软件的使用,能够熟练用它进行数字电路的仿真设计,以及锻炼我们平时独立思考、善于动手操作的能力,培养应对问题的实战能力,提高实验技能,熟悉复杂数字电路的安装、测试方法,掌握关于多功能数字时钟的工作原理,掌握基本逻辑们电路、译码器、数据分配器、数据选择器、数值比较器、触发器、计数器、锁存器、555定时器等方面已经学过的知识,并能够将这些熟练应用于实际问题中,我认真的动手学习了数字时钟的基本原理,从实际中再次熟悉了关于本学期数字电路课程中学习的知识,更重要的是熟练掌握了关于proteus软件的使用,收获颇多,增强了自己的工程实践能力。 另外,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、设计要求和设计指标 设计一个数字时钟,具有“秒”、“分”、“时”计时和显示功能。小时以24小时计时制计时;具有校时功能,能够对“分”、“时”进行调整;能够进行整点报时,报时规则为:在59Min51s后隔秒发出500Hz的低音报时信号,在59min59s时发出1kHz的高音报时信号,声响持续1s。 三、设计内容 3.1 数字钟电路工作原理 3.1.1总体设计 数字时钟基本电路设计图如下图:

数字电路与系统设计实验报告

数字电路与系统设计实验报告

学院:班级:姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。

五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系 (1)接线图 (2)真值表

3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程

数字电路实验报告计数器的逻辑功能及应用

数字电路实验报告 计数器逻辑功能及其应用 一、实验目的: 1.熟悉中等规模集成电路计数器74LS160 的逻辑功能,使用方法及应用。 2.掌握构成任意进制计数器的方法。 二、实验设备及器件: 1.数字逻辑电路实验板 1 片 2.74HC160 同步加法二进制计数器 2 片 3.74HC00 二输入四与非门 1 片 三、实验原理: 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能 计数器等等。目前,无论是TTL 还是CMOS 集成电路,都有品种较齐全的中规模集成计 数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 集成计数器74HC160 是二 -五 -十进制计数器,其管脚排列如图。 四、实验内容 1.构成摸 10 计数器 实验原理图

实验结果:数码管显示为从0 到 9 之间变化。 2、组成模 6 计数器 实验原理图 实验结果:数码管显示为从0 到 5 之间变化。 3、组成模100 计数器 实验结果:个位数码管随时间显示0、 1、 2、 3、 4、5、 6、 7、 8、9,十位数码管显示个位

进位计数结果,按0、 1、 2、3、 4、 5、6、 7、 8、 9 变化。 五、实验心得: 本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及 74HC160 的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识 到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

数字逻辑电路实验报告

数字逻辑电路实验报告 数字逻辑电路实验报告 引言: 数字逻辑电路是现代电子科技中的重要组成部分,它广泛应用于计算机、通信、控制系统等领域。本实验旨在通过实际操作,加深对数字逻辑电路原理的理解,并通过实验结果验证其正确性和可靠性。 实验一:基本逻辑门的实验 在本实验中,我们首先学习了数字逻辑电路的基本组成部分——逻辑门。逻辑 门是数字电路的基本构建单元,它能够根据输入信号的逻辑关系,产生相应的 输出信号。 我们通过实验验证了与门、或门、非门、异或门的工作原理和真值表。以与门 为例,当且仅当所有输入信号都为高电平时,与门的输出信号才为高电平。实 验中,我们通过连接开关和LED灯,观察了与门的输出变化。实验结果与预期 相符,验证了与门的正确性。 实验二:多位加法器的设计与实验 在本实验中,我们学习了多位加法器的设计和实现。多位加法器是一种能够对 多位二进制数进行加法运算的数字逻辑电路。 我们通过实验设计了一个4位全加器,它能够对两个4位二进制数进行相加, 并给出正确的进位和和结果。实验中,我们使用逻辑门和触发器等元件,按照 电路图进行布线和连接。通过输入不同的二进制数,观察了加法器的输出结果。实验结果表明,多位加法器能够正确地进行二进制数相加,验证了其可靠性。 实验三:时序电路的实验

在本实验中,我们学习了时序电路的设计和实验。时序电路是一种能够根据输 入信号的时间顺序产生相应输出信号的数字逻辑电路。 我们通过实验设计了一个简单的时序电路,它能够产生一个周期性的脉冲信号。实验中,我们使用计数器和触发器等元件,按照电路图进行布线和连接。通过 改变计数器的计数值,观察了脉冲信号的频率和周期。实验结果表明,时序电 路能够按照设计要求产生周期性的脉冲信号,验证了其正确性。 实验四:存储器的设计与实验 在本实验中,我们学习了存储器的设计和实现。存储器是一种能够存储和读取 数据的数字逻辑电路,它在计算机系统中起到重要的作用。 我们通过实验设计了一个简单的存储器,它能够存储和读取一个4位二进制数。实验中,我们使用触发器和多路选择器等元件,按照电路图进行布线和连接。 通过输入不同的二进制数,观察了存储器的读写操作。实验结果表明,存储器 能够正确地存储和读取数据,验证了其可靠性。 结论: 通过本次实验,我们深入了解了数字逻辑电路的基本原理和实际应用。通过实 际操作,我们验证了逻辑门、多位加法器、时序电路和存储器的正确性和可靠性。数字逻辑电路的研究和应用将为我们提供更多的可能性和机遇,推动科技 的发展和进步。

相关主题
文本预览
相关文档 最新文档