当前位置:文档之家› 数字电路实验

数字电路实验

实验一基本门电路(验证型)

一、实验目的

(1)熟悉常用门电路的逻辑功能;

(2)学会利用门电路构成简单的逻辑电路。

二、实验器材

数字电路实验箱 1台;74LS00、74LS02、74LS86各一块

三、实验内容及步骤

1、TTL与非门逻辑功能测试

(1)将四2输入与非门74LS00插入数字电路

实验箱面板的IC插座上,任选其中一与非门。

输入端分别输入不同的逻辑电平(由逻辑开关控

制),输出端接至LED“电平显示”输入端。观

察LED亮灭,并记录对应的逻辑状态。按图1-

1接线,检查无误方可通电。

图1-1

表1-1 74LS00逻辑功能表

2、TTL或非门、异或门逻辑功能测试

分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路,测试其逻辑功能,功能表自拟。

3、若要实现Y=A′, 74LS00、74LS02、74LS86将如何连接,分别画出其实验连线图,并验证其逻辑功能。

4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图,并验证其逻辑功能。

四、思考题

1.TTL与非门输入端悬空相当于输入什么电平?

2.如何处理各种门电路的多余输入端?

附:集成电路引出端功能图

实验二组合逻辑电路(设计型)

一、实验目的

熟悉简单组合电路的设计和分析过程。

二、实验器材

数字电路实验箱 1台,74LS00 三块,74LS02、74LS04、74LS08各一块

三、实验内容及步骤

1、设计一个能比较一位二进制A与 B大小的比较电路,用X1、X

2、X3分别表示三种状态:A>B时,X1=1;A

要求:(1)列出真值表;

(2)写出函数逻辑表达式;

(3) 画出逻辑电路图,并画出实验连线图;

(4)验证电路设计的正确性。

2、测量组合电路的逻辑关系:

(1)图3-2电路用3块74LS00组成。按逻辑图接好实验电路,输入端A、B、C 分别接“逻辑电平”,输出端D、J接LED“电平显示”;

图3-2 表3-2

(2)按表3-2要求,将测得的

输出状态和LED显示分别填入

表内;

(3)根据测得的逻辑电路真值

表,写出电路的逻辑函数式,判

断该电路的功能。

四、思考题

总结组合逻辑电路分析和设计步骤。

附:集成电路引出端功能图

74LS08

实验三 译码器及其应用研究(设计型)

一、实验目的

(1)测试3线-8线译码器74LS138的逻辑功能; (2)研究用译码器设计组合电路。 二、实验器材

数字电路实验箱 1台,74LS138、74LS30各一块 三、实验内容及步骤 1、74LS138逻辑功能测试

对照74LS138引脚图连接实验连线图,使能端S 1、S 2′、S 3′和地址输入端A 2、A 1、A 0分别接“逻辑电平”,输出端接LED“电平显示”;将测试结果填入功能表4-1。

表4-1

2、用74LS138构成逻辑函数发生器

要求用74LS138实现逻辑函数: (1)推导出与译码器输出端相对应的函数式; (2)画出逻辑电路图,并画出实验连线图; (3)将测试结果填入真值表4-2。

ABC C AB C B A C B A C B A Y +'+'+''+'''=

表4-2四、思考题

1.分析74LS138的S

1、S

2

′、S

3

′端的作用。

2.总结用译码器设计组合电路的方法。

附:集成电路引脚排列图

74LS30(8输入与非门)

实验四数据选择器及其应用研究(设计型)(选做)

一、实验目的

(1)测试双4选1数据选择器74LS153、8选1数据选择器74LS151的逻辑功能;

(2)研究用数据选择器设计组合电路的方法。

二、实验器材

数字电路实验箱 1台,74LS153、74LS151、74LS32、74LS04各一块

三、实验内容及步骤

1、74LS153逻辑功能测试

(1)按实验电路图5-1连线,地址输入端A

1

A 0分别接逻辑开关K

1

、K

2

,S

1

′接开关K

3

(2) K

3

=0,当A

1

A

=00时,数据输入端D

10

接逻辑开关,观察输出端Y

1与D

10

的关系;依

次将其余输入端输入数据观察输出状态。将实验结果填入功能表5-1。

(3) K

3

=1,观察输出状态是否改变。

(4) 当S

2′接逻辑开关K

3

时,重复上述步骤。图5-1表5-1

2、74LS153扩展成8选1数据选择器。按图5-2连接,观察A

2

分别为0和1时,输出与哪一组数据输入相关。

图5-2

3、用74LS151(扩展后的74LS153)设计一个多数表决电路。该电路有三个输入端A、B、C,分别代表三个人的表决情况。“同意”为1态,“不同意”为0态,当多数同意时,输出为1态,否则输出为0态。

(1)根据题意列真值表,写出最小项逻辑表达式;

(2)画出逻辑电路图,完成实验连线图,并将测试结果填入表5-2。

表5-2

附:集成电路引出端功能图

74LS32

实验五 触发器及其应用(验证型)

一、实验目的

(1)掌握基本的SR 、JK 、D 和T 触发器的逻辑功能; (2)掌握集成触发器的逻辑功能及使用方法; (3)熟悉触发器之间相互转换的方法。 二、实验器材

数字电路实验箱 1台,74LS00 、74LS76、 74LS74各一块 三、实验内容及步骤 1、测试SR 锁存器的逻辑功能

按图6-1,用两个与非门组成SR 锁存器,输入端R ′、S ′接逻辑开关,输出端Q 、Q ′接逻辑电平显示,按表6-1要求测试,并记录之。

表6-1

图6-1

2、测试双JK 触发器74LS76逻辑功能

(1)测试 、 的复位、置位功能,任取一个JK 触发器,使 、 、J 、K 接逻辑开关插口,CLK 接单次脉冲源,Q 、Q ′端接至逻辑电平,按表 6-2测试 、 功能。 表6-2

D R 'D S 'D S 'D R 'D R 'D S '

(2) 测试JK 触发器的逻辑功能和触发方式

使 = =1,按表6-3要求改变J 、K 、CLK 状态,观察Q 状态的变化,观察触发器状态更新是否发生在CLK 脉冲下降沿。 表6-3

(3)将JK 触发器J 、K 端连在一起,接高电平,构成T ′触发器。在CLK 端输入1Hz 连续脉冲,观察Q 端的变化。

3、测试维持阻塞D 触发器74LS74的逻辑功能

(1)测试R D ′、S D ′的复位、置位功能,内容同实验2之(1),自拟表格。 (2) 测试D 触发器的逻辑功能:按表6-4要求进行测试,观察触发器状态更新是否发生在CP 脉冲上升沿。

表6-4

D R 'D

S '

(3)将D触发器的Q′端与D端相连接,构成T′触发器,测试方法同实验内2之(3)。

四、思考题

用JK触发器74LS76和用D触发器74LS74构成的T′触发器会有什么不同?附:集成电路引出端功能图

实验六计数器及其应用(验证型)

一、实验目的

(1)熟悉计数器的工作原理。

(2)掌握中规模集成计数器的使用及功能测试方法。

(3)掌握运用集成计数器实现任意进制的计数器的方法。

二、实验器材

数字电路实验箱 1台,74LS161(或74LS160)、74LS20各一块

三、实验内容及步骤

1、测试74LS161(或74LS160)的逻辑功能。自拟实验连线图,验证表8-1的工作状态。

表8-174LS161/160功能表

2、用74LS161(或74LS160)和与非门74LS20实现七进制计数器。电路图如图8-1,按图接线并验证,其有效状态转换图如图8-2。若用置零法实现电路应如何连接。画出原理图,连线并验证之。

四、思考题

若要构成十三进制计数器用一片74LS161能否实现?一片74LS160能否实现?附:集成电路引出端功能图

(注:可编辑下载,若有不当之处,请指正,谢谢!)

数字电路实验报告

数字电路实验

目录 实验一组合逻辑电路分析 (2) 实验二组合逻辑实验(一) (6) 实验三组合逻辑实验(三) (11) 实验四触发器和计数器 (19) 实验五数字电路综合实验 (24) 实验六555集成定时器 (27) 实验七数字秒表 (31)

实验一组合逻辑电路分析 一、参考元件 1、74LS00(四2输入与非门) 2、74LS20(双4输入与非门) 二、实验内容 1、组合逻辑电路分析 A B C D 7 8 9 10 11 12 X1 5 V 1 图1.1 组合逻辑电路分析 电路图说明:ABCD按逻辑开关“1”表示高电平,“0”表示低电平;逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 实验表格记录如下: 实验真值表 A B C D X1 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0

0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 实验分析: ?=AB+CD ,同样,由真值表也能推出此方程,由实验逻辑电路图可知:输出X1=AB CD 说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。 试分析下图中密码锁的密码ABCD是什么?

U1A 74LS00D U1B 74LS00D U1C 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D VCC 5V X1 5 V X2 5 V 1 24 3 VCC 5 6 7 8 910 11 12 A B C D 图1.2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 1

数字逻辑电路实验

计算机科学与工程学院 数字逻辑实验报告 专业__软件工程_班级姓名__ __学号___ __ 实验一TTL 门电路参数测试实验 一、实验目的 1. 掌握TTL 集成与非门的主要性能参数及测试方法。 2. 掌握TTL 器件的使用规则。 3. 熟悉数字电路测试中常用电子仪器的使用方法。 二、实验仪器和器材 1、数字逻辑电路实验箱。 2、数字逻辑电路实验箱扩展板。 3、芯片74LS00。 4、5.1K,100Ω,200Ω,500Ω,1K 电阻;1K,10K 可调电阻,导线若干。 5、数字万用表。 6、示波器 三、实验原理 本实验采用二输入四与非门74LS00(管脚图见图6),即一块集成块内含有四个相互独立的与非门,每个与非门有两个输入端。其逻辑框图如下:

图1-1 74LS00 的逻辑图图1-2 I is 的测试电路图 TTL 集成与非门的主要参数有输出高电平V OH、输出低电平V OL、扇出系数N0、电压传输特性和平均传输延迟时间t pd 等。 (1)TTL 门电路的输出高电平V OH V OH 是与非门有一个或多个输入端接地或接低电平时的输出电压值,此时与非工作管 处于截止状态。空载时,V OH 的典型值为3.4~3.6V,接有拉电流负载时,V OH 下降。 (2)TTL 门电路的输出低电平V OL V OL 是与非门所有输入端都接高电平时的输出电压值,此时与非工作管处于饱和导通 状态。空载时,它的典型值约为0.2V,接有灌电流负载时,V OL 将上升。(3)TTL 门电路的输入短路电流I is 它是指当被测输入端接地,其余端悬空,输出端空载时,由被测输入端输出的电流 值,测试电路图如图1-2。 (4)TTL 门电路的扇出系数N0 扇出系数N0 指门电路能驱动同类门的个数,它是衡量门电路负载能力的一个参数, TTL 集成与非门有两种不同性质的负载,即灌电流负载和拉电流负载。因此,它有两 种扇出系数,即低电平扇出系数N0L 和高电平扇出系数N0H。通常有I iHN0L, 故常以N0L 作为门的扇出系数。 N0L 的测试电路如图1-3 所示,门的输入端全部悬空,输出端接灌电流负载R L,调节R L 使 I OL 增大,V OL 随之增高,当V OL 达到V Olm(手册中规定低电平规范值为0.4V)时的I OL 就是允许灌入的最大负载电流,则 N0L=I OL÷I is,通常N0L>8 (5)TTL 门电路的电压传输特性 门的输出电压V o 随输入电压V i 而变化的曲线V o=f(V i)称为门的电压传输特性,通过 它可读得门电路的一些重要参数,如输出高电平V OH、输出低电平V OL、关门电平V off、开 门电平V ON 等值。测试电路如图1-4 所示,采用逐点测试法,即调节R w,逐点测得V i 及 V o,然后绘成曲线。

数字电路实验

实验一基本门电路(验证型) 一、实验目的 (1)熟悉常用门电路的逻辑功能; (2)学会利用门电路构成简单的逻辑电路。 二、实验器材 数字电路实验箱 1台;74LS00、74LS02、74LS86各一块 三、实验内容及步骤 1、TTL与非门逻辑功能测试 (1)将四2输入与非门74LS00插入数字电路 实验箱面板的IC插座上,任选其中一与非门。 输入端分别输入不同的逻辑电平(由逻辑开关控 制),输出端接至LED“电平显示”输入端。观 察LED亮灭,并记录对应的逻辑状态。按图1- 1接线,检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表 2、TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路,测试其逻辑功能,功能表自拟。 3、若要实现Y=A′, 74LS00、74LS02、74LS86将如何连接,分别画出其实验连线图,并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图,并验证其逻辑功能。

四、思考题 1.TTL与非门输入端悬空相当于输入什么电平? 2.如何处理各种门电路的多余输入端? 附:集成电路引出端功能图

实验二组合逻辑电路(设计型) 一、实验目的 熟悉简单组合电路的设计和分析过程。 二、实验器材 数字电路实验箱 1台,74LS00 三块,74LS02、74LS04、74LS08各一块 三、实验内容及步骤 1、设计一个能比较一位二进制A与 B大小的比较电路,用X1、X 2、X3分别表示三种状态:A>B时,X1=1;A

数字电路实验心得体会

数字电路实验心得体会 数字电路实验心得体会 篇一: 数电实验总结心得数字电子技术实验总结心得数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如: 1、线路不通——运用逻辑笔去检查导线是否可用; 2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型; 3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间T,还有有时是因为接入线的问题,此 时可以通过换用原装线来解决。同时,我们也得到了不少经验教训: 1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接 一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。此

时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要 修正等等,只有这样我们的能力才能有所提高。 2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多~自动0906 裘日辉 1091250131 篇二: 数电设计实验心得体会数电设计实验心得体会通过本学期的数字电路理论学习让我对数字电路原理有了一定的了解,而通过数字电路设计让我对数字电路有了进一步的了解,并在实验过程中逐渐学会了将理论与实际相结合。通过自己所学的理论与实际生活中遇到的小问题和小玩具相结合完成了本次数字电路设计。如四位密码锁,四人抢答器都是我们生活中遇到的小问题以前一直在作观看者和使用者,而在这次设计过程中我们作了创造者,让我们看到了自己学习的成果加强了对理论知识的消化理解。而简易电子琴则是生活中的小玩具,让我们觉得很神奇的东西,通过本次设计让我对其有了深刻的理解。也将促使我对生活中其他的电子设备进一步探索,发现他们的神奇之处。此外通过本次设计也发现了自己很多不足,如在制作前只是画出原理图,没有进行合理的布局造成最后电路不够美观,还有就是对各种芯片的使用有了更多的了解,也发现了理论与实际应用还是有一定的不同的。总的来说通过本次设计让我收获了很多,让我对以前学过的知识得以掌握,对未学到的知识也有了一定的了解。

数字电路实验报告

数字电路实验报告 数字电路实验报告 引言 数字电路是现代电子技术中的重要组成部分,它在计算机、通信、嵌入式系统 等领域发挥着重要作用。本次实验旨在通过设计和实现几个基本的数字电路电路,加深对数字电路原理和设计的理解。 一、二进制加法器的设计与实现 在数字电路中,二进制加法器是最基本的电路之一。我们通过实验设计了一个 4位二进制加法器,并对其进行了验证。首先,我们使用逻辑门电路实现了半 加器和全加器,并将它们进行了级联。然后,我们使用逻辑门电路搭建了4位 二进制加法器电路,并通过逻辑分析仪验证了其正确性。 二、时序电路的设计与实现 时序电路是数字电路中非常重要的一类电路,它涉及到电路中信号的时序关系。在本次实验中,我们设计了一个简单的时序电路——计数器电路。我们使用JK 触发器和逻辑门电路搭建了一个4位二进制计数器,并通过示波器观察了计数 器的输出波形。实验结果表明,计数器能够按照预期进行计数,并且输出波形 稳定。 三、组合逻辑电路的设计与实现 组合逻辑电路是由多个逻辑门电路组合而成的电路,它的输出仅仅取决于当前 输入信号的状态,而与过去的输入信号状态无关。在本次实验中,我们设计了 一个4位二进制比较器电路。我们使用逻辑门电路搭建了比较器,并通过逻辑 分析仪验证了其正确性。实验结果表明,比较器能够准确判断两个4位二进制

数的大小关系。 四、存储器电路的设计与实现 存储器是计算机系统中非常重要的组成部分,它用于存储和读取数据。在本次实验中,我们设计了一个简单的存储器电路——SR锁存器。我们使用逻辑门电路搭建了SR锁存器,并通过示波器观察了其输出波形。实验结果表明,SR锁存器能够正确地存储和读取数据。 五、总结与展望 通过本次实验,我们深入学习了数字电路的基本原理和设计方法。我们了解了二进制加法器、时序电路、组合逻辑电路和存储器电路的设计与实现过程,并通过实验验证了它们的正确性。通过这些实验,我们对数字电路的工作原理和应用有了更深入的了解。在未来,我们将进一步学习和探索数字电路的高级应用,为实际工程项目提供更好的支持。 结语 数字电路实验是电子工程专业学生必不可少的一部分,通过实验我们不仅能够巩固理论知识,还能够培养动手能力和解决问题的能力。本次实验的设计与实现让我更加深入地理解了数字电路的原理和设计方法,为我今后的学习和研究打下了坚实的基础。希望通过今后的努力,我能够在数字电路领域取得更多的成果。

数字电路实验3 计数器

实验八计数器 一、实验目的 1.熟悉由集成触发器构成的计数器电路及其工作原理。 2.熟悉掌握常用中规模集成电路计数器及其应用方法。 二、实验原理和电路 所谓计数,就是统计脉冲的个数,计数器就是实现“计数”操作的时序逻辑电路。计数器的应用十分广泛,不仅用来计数,也可用作分频、定时等。 计数器种类繁多。根据计数体制的不同,计数器可分成二进制(即2”进制)计数器和非二进制计数器两大类。在非二进制计数器中,最常用的是十进制计数器,其它的一般称为任意进制计数器。根据计数器的增减趋势不同,计数器可分为加法计数器—随着计数脉冲的输入而递增计数的;减法计数器—随着计数脉冲的输入而递减的;可逆计数器—既可递增,也可递减的。根据计数脉冲引入方式不同,计数器又可分为同步计数器—计数脉冲直接加到所有触发器的时钟脉冲(CP)输入端;异步计数器—计数脉冲不是直接加到所有触发器的时钟脉冲(CP)输入端。 1.异步二进制加法计数器 异步二进制加法计数器是比较简单的。图 1.8.1(a)是由4个JK(选用双JK74LS112)触发器构成的4位二进制(十六进制)异步加法计数器,图1.8.1(b)和(c)分别为其状态图和波形图。 对于所得状态图和波形图可以这样理解:触发器FF O(最低位)在每个计数沿(CP)的下降沿(1 → 0)翻转,触发器FF1的CP端接FF0的Q0端,因而当FF O(Q O)由1→ 0时,FF1翻转。类似地,当FF1(Q1)由1→0时,FF2翻转,FF2(Q2)由1→0时,FF3翻转。

4位二进制异步加法计数器从起始态0000到1111共十六个状态,因此,它是十六进制加法计数器,也称模16加法计数器(模M=16)。

数字电路实验报告

目录 实验一四位海明校验码的逻辑设计2实验二十六进制译码计数器的设计 6 实验三脉冲分频逻辑电路的设计10 实验四八位数据串入并出逻辑设计16 实验五十六位运算器的逻辑设计20 实验六4Kx8bit存储器的设计25

实验一四位海明校验码的逻辑设计 实验目的: 掌握海明校验的编码原理以及设计、调试方法,巩固提高组合逻辑知识,培养实际动手能力。掌握总线的应用方法。掌握总线信息出错时发现错我和纠正错我的原理,掌握奇偶校验的原理,掌握海明校验编码原理以及设计、调试方法。 实验要求: (1)设计信息位为4位的内存的海明校验逻辑电路,在读内存储器时,具有一位出错报错和纠正一位错误的功能。 (2)为了难其正确性,在读出信息的通路上,要串入造错用逻辑,位数自定。 (3)奇偶发生器与海明校难器对同一位用一块奇偶校验集成块 实验原理: 检错和校错 由编码理论,任何一种编码是否具有检测和纠错能力,都与编码的最小距离有关,即任何两组合法代码间最少的二进制位数的差异,L-1=D+C(D>=C)L为编码的最小距离,D 表示检测错误的位数;C表示纠正错误的位数。 故,8421码不具备检错能力,这是因为它的最小码距为1,当8421码的码字中有一位出错,而产生的错误代码就有可能是另一个码字,这样,无法判断它是否已出错。 8421海明校验码 8421海明校验码由8421码加三位校验码组成.设8421码为I1 I2 I3 I4,三位校验码为:P3 P2 P1,则8421码为下列七位代码:

校验码的值由下式确定: P3I4I3I2=⊕⊕ P2I4I3I1=⊕⊕ P1I4I2I1=⊕⊕ 由此可得8421海明码的最小码距为3 ,故D=1,C=1,可检测并纠正一位错误。输出时在输出端先求出校验和: S3I4I3I2P3=⊕⊕⊕ S2I4I3I1P2=⊕⊕⊕ S1I4I2I1P1=⊕⊕⊕ 然后判断S3S2S1,如果代码不出错,则S3S2S1=000,否则由S3S2S1构成的二进制数为1位指出出错位。 实验实现: 1.利用Quartus II 工具,画出实验的TTl 电路如下图 经过编译仿真之后,仿真波形图如下图: 位序 7 6 5 4 3 2 1 8421海明 码 I4 I3 I2 P3 I1 P2 P1

数字电路实验8 基本RS触发器

1.实验目的 1)使用EWB软件模拟基本RS触发器,本实验选用或非门实现基本RS触发器,完成仿真调 试,电路分析; 2)进一步分析并掌握RS触发器的工作原理; 3)基本RS触发器(又称R-S锁存器)是各种触发电路中结构形式最简单的一种,它又是许多 复杂电路结构触发器的一个组成部分。 2.实验内容 1)利用EWB电子实验室软件多媒体教程,学习如何使用EWB模拟出基本RS触发器; 2)自己使用EWB模拟RS触发器,以此完成元器件的选取,电路连接,仿真调试以及电路分 析; 3)进一步分析RS触发器的功能,分析其工作原理。 3.实验步骤和实验结果 1)选取元器件:地,“V CC”直流电源,开关2个,彩色指示器2个以及或非门两个。 2)根据电子多媒体教程连接电路: 3)仿真调试: R = 1, S = 1, 触发器两端为低电平R = 1, S = 0, 触发器置1

R = 0, S = 1, 触发器置0 R = 0, S = 0, 触发器保持原状态 4)结果分析:该实验使用的是或非门构造的RS触发器,所以Q___所对应的为R___+___Q___,而Q所对应 为S+Q___,所以由分析可得该模拟电路中RS高电平有效。而该触发器中S为置位端,而R 为复位端或指令端。由上图仿真调试结构可得RS不同的取值下,输出信号的五种不同结果。4.分析与讨论 1)本RS基本触发器电路与书上所给逻辑电路图有所不同,即Q与Q——的位置互相对调,所以在 输出上结果也相应的有所不同,如当R=0,S=0,情况下,触发器Q与Q——端口保持原状态而不是低电平; 2) 3)由真值表可得其特性方程为:Q n+1=R+S—Q n RS=0 4)基本RS触发器因为电平受直接控制,所以抗干扰能力弱,并且RS间有约束,所以可以采用同步触发器改善电路。

深大数字电路实验报告2-数据选择器

深大数字电路实验报告2-数据选择器 一、实验目的 1、了解数据选择器的原理和应用; 2、熟悉74LS151的管脚及功能; 3、学会使用示波器观测信号波形。 二、实验设备 1、数字示波器; 2、电源模块; 3、实验板; 4、74LS151芯片; 5、连接线。 三、实验原理 数据选择器是一种器件,用来通过选择输入端其中之一的信号并输出到输出端,选择的输入信号通路称作选择通路,一个数据选择器可以有一至多个选择通路。数据选择器可以被用来联结不同的输入装置,也可用来选择来自多个输入信源的信号。 74LS151是一种8:1数据选择器。如图1,其功能原理图如下。数据输入是通过双向操作的输入/输出端A-H送入芯片内部,通过控制信号S2,S1,S0的组合,任意选择输入端口之一,将其输出到Y输出端口。 图1 74LS151功能原理示意图 四、实验步骤 1、根据原理图和芯片管脚功能,插上74LS151芯片; 2、将电源正负极插入电源模块的正负极; 3、将电源模块与实验板连接; 4、将8位数据总线分别连接到74LS151的A-H端口;

5、将74LS151的输出端口Y连接到示波器Channel 1通道的输入端口,并调节示波器旋钮; 6、按照实验原理,控制74LS151的S2,S1,S0三个端口的信号,从而控制哪一个输入端口输出到输出端口Y;通过观察Channel 1通道上的波形,效验芯片功能是否正确。 五、实验数据与分析 1、通过8个led灯亮灭情况,依次检验实验板的数据线是否接通,并确认数据的正确性; 2、利用示波器观察Channel 1通道上的波形,控制输入端口的改变,确认芯片性能是否正确; 3、通过实验结果,比较不同的S2,S1,S0信号组合,可以直接得到输出的数据来源,从而实现不同输入信号的选择。 六、实验心得 本次实验通过使用74LS151芯片,实现了数据选择器的基本功能,以及掌握了数字电路实验中使用示波器的方法。通过实验,我深刻理解到数字电路实验的重要性,为今后的电子技术学习打下了坚实的基础。

数字逻辑电路实验报告

数字逻辑电路实验报告 数字逻辑电路实验报告 引言: 数字逻辑电路是现代电子科技中的重要组成部分,它广泛应用于计算机、通信、控制系统等领域。本实验旨在通过实际操作,加深对数字逻辑电路原理的理解,并通过实验结果验证其正确性和可靠性。 实验一:基本逻辑门的实验 在本实验中,我们首先学习了数字逻辑电路的基本组成部分——逻辑门。逻辑 门是数字电路的基本构建单元,它能够根据输入信号的逻辑关系,产生相应的 输出信号。 我们通过实验验证了与门、或门、非门、异或门的工作原理和真值表。以与门 为例,当且仅当所有输入信号都为高电平时,与门的输出信号才为高电平。实 验中,我们通过连接开关和LED灯,观察了与门的输出变化。实验结果与预期 相符,验证了与门的正确性。 实验二:多位加法器的设计与实验 在本实验中,我们学习了多位加法器的设计和实现。多位加法器是一种能够对 多位二进制数进行加法运算的数字逻辑电路。 我们通过实验设计了一个4位全加器,它能够对两个4位二进制数进行相加, 并给出正确的进位和和结果。实验中,我们使用逻辑门和触发器等元件,按照 电路图进行布线和连接。通过输入不同的二进制数,观察了加法器的输出结果。实验结果表明,多位加法器能够正确地进行二进制数相加,验证了其可靠性。 实验三:时序电路的实验

在本实验中,我们学习了时序电路的设计和实验。时序电路是一种能够根据输 入信号的时间顺序产生相应输出信号的数字逻辑电路。 我们通过实验设计了一个简单的时序电路,它能够产生一个周期性的脉冲信号。实验中,我们使用计数器和触发器等元件,按照电路图进行布线和连接。通过 改变计数器的计数值,观察了脉冲信号的频率和周期。实验结果表明,时序电 路能够按照设计要求产生周期性的脉冲信号,验证了其正确性。 实验四:存储器的设计与实验 在本实验中,我们学习了存储器的设计和实现。存储器是一种能够存储和读取 数据的数字逻辑电路,它在计算机系统中起到重要的作用。 我们通过实验设计了一个简单的存储器,它能够存储和读取一个4位二进制数。实验中,我们使用触发器和多路选择器等元件,按照电路图进行布线和连接。 通过输入不同的二进制数,观察了存储器的读写操作。实验结果表明,存储器 能够正确地存储和读取数据,验证了其可靠性。 结论: 通过本次实验,我们深入了解了数字逻辑电路的基本原理和实际应用。通过实 际操作,我们验证了逻辑门、多位加法器、时序电路和存储器的正确性和可靠性。数字逻辑电路的研究和应用将为我们提供更多的可能性和机遇,推动科技 的发展和进步。

数字电路数字时钟课程实验报告

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 译码器译码器译码器时计数器分计数器秒计数器校时电路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三 60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下: 图四 60进制--分计数电路 24进制——时计数电路 来自分计数电路的进位脉冲使时的个位加,个位计数器由0增加到9是产生进位,连在十位计数器脉冲输入端CP,当十位计到2且个位计到3是经过74LS11与门产生一个清零信号,将所有CD40110清零。其电路图如下:

数字电路实验心得体会(精选3篇)

数字电路实验心得体会(精选3篇)数字电路实验心得体会(精选3篇) 数字电路实验心得体会(精选3篇) 数字电路实验心得体会一:数字电路实验心得在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。

数字电路实验心得体会二:数电实验心得(903字)数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。 在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如: 1、线路不通——运用逻辑笔去检查导线是否可用; 2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型; 3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。 同时,我们也得到了不少经验教训: 1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

数字电路实验

数字电路实验 数字电路实验是电子工程相关专业的一门重要实践课程,旨在帮助 学生掌握数字电路设计与实验的基本原理、方法和技能。通过实验, 学生可以加深对数字电路理论知识的理解,提升实践能力和创新思维,为将来从事电子工程领域的研究和实践奠定坚实的基础。 一、实验目的 数字电路实验的目的是培养学生的实验操作技能,提高学生的动手 实践能力,掌握数字电路设计和测试的方法。通过实际操作,学生可 以了解数字电路的基本原理、功能及其实验现象,加深对数字电路的 理论知识的理解。 二、实验器材 数字电路实验需要以下器材和设备: 1. 实验箱:用于组装和连接数字电路实验电路。 2. 示波器:用于观察和测量电路中的信号波形。 3. 信号发生器:用于产生各种测试信号。 4. 计数器:用于计数和测量电路中的脉冲频率。 5. 多用途通用测试仪:用于电路测试和故障诊断。 三、实验内容 数字电路实验的内容主要包括以下几个方面:

1. 数字逻辑门电路实验:包括与门、或门、非门、与非门、异或门等的实验。 2. 组合逻辑电路实验:包括编码器、解码器、复用器、译码器等的实验。 3. 时序逻辑电路实验:包括时钟、触发器、时序逻辑门、计数器、寄存器等的实验。 4. 数字电路综合实验:通过综合实验,学生需自主设计数字电路,实践数字电路设计的基本方法和技巧。 四、实验步骤 1. 根据实验内容和要求,选择适当的实验器材和设备。 2. 设计和搭建数字电路实验电路,注意连接的准确性和稳定性。 3. 使用示波器和信号发生器对电路进行测试和调试,观察和测量信号波形和频率。 4. 记录实验过程中的数据和现象,并进行数据分析和处理。 5. 总结实验结果,撰写实验报告,包括实验目的、原理、电路图、实验步骤、数据分析和结论等内容。 五、实验注意事项 1. 实验前需充分了解实验原理和电路设计,做好实验准备工作。 2. 实验操作过程中要注意安全,遵守实验室的各项规定。

数字电路实验报告范文

一、设计目的及要求: 〔一〕实验目的: 1. 通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力以及团队精神。 2. 通过本实验要求学生熟悉各种常用中规模集成电路组合逻辑电路的功能与使用方法,学会组装和调试各种中规模集成电路组合逻辑电路,掌握多片中小规模集成电路组合逻辑电路的级联、功能扩展及综合设计技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。 〔二〕实验要求 1. 数字显示电路操作面板:左侧有16个按键,编号为0到15数字,面板右侧有2个共阳7段显示器。 2. 设计要求:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示1。假设同时按下几个按键,优先级别的顺序是15到0。 二、电路框图及原理图 原理图概要: 数字显示电路由键盘、编码、码制转换、译码显示组成。

各局部作用: 1.键盘: 用于0~15数字的输入。可以由16个自锁定式的按键来排列成4×4键盘。 2.编码: 采用两片74LS148级联来完成对0~15的编码,并且是具有优先级的编码。 3.码制转换: 本电路采用了2个74LS00、1个74LS04、1个74LS283来完成对0~15出事编码的码制转换,转换成个位与十位的8421BCD码,为下一步的解码做准备。 4.译码显示: 本电路采用了两个74LS47分别对码制转换后的BCD码进展译码,并且由这两个芯片分别驱动两片七段共阳极数码管。 原理图: 三、设计思想及根本原理分析: 设计原理: (1)编码:用预先规定的方法将文字、数字或其他对象编成数码,或将信息、数据转换成规定的电脉冲信号。本实验将编码局部 每一个编码芯片只将0-7分别编码为8421BCD码。 (2)码制转换:将两片74LS148编码的结果分别转化成个位和十位的BCD码输入到下一级。

数字电路基础实验指导(十个)

基础实验部分 实验一 集成逻辑门电路逻辑功能的测试 一、实验目的 1、熟悉数字逻辑实验箱的结构、基本功能和使用方法。 2、掌握常用非门、与非门、或非门、与或非门、异或门的逻辑功能及其测试方法。 二、实验仪器及设备 1、数字逻辑实验箱 1台 2、元器件: 74LS00 74LS04 74LS55 74LS86 各一块 导线 若干 三、实验内容 1、测试74LS04(六非门)的逻辑功能 将74LS04正确接入面包板,注意识别1脚位置(集成块正面放置且缺口向左,则左下角为1脚)重点讲解,按表1-1要求输入高、低电平信号,测出相应的输出逻辑电平。得表达式为A Y = 表1-1 74LS04逻辑功能测试表 2、测试74LS00(四2输入端与非门)逻辑功能 将74LS00正确接入面包板,注意识别1脚位置,按表1-2要求输入高、低电平信号,测出相应的输出逻辑电平。得表达式为B A Y •= 表1-2 74LS00 逻辑功能测试表 3、测试74LS55(二路四输入与或非门)逻辑功能

将74LS55正确接入面包板,注意识别1脚位置,按表1-3要求输入信号,测出相应的输出逻辑电平,填入表中。(表中仅列出供抽验逻辑功能用的部分数据) 表1-3 74LS55部分逻辑功能测试表 本器件的逻辑表达式应为:Y=EFGH ABCD ,与实侧值相比较,功能正确。 4、测试74LS86(四异或门)逻辑功能 将74LS86正确接入面包板,注意识别1脚位置,按表1-4要求输入信号,测出相应的输出逻辑电平。得表达式为Y=A⊕B 表1-4 74LS86逻辑功能测试表

四、实验结果分析(回答问题) 若测试74LS55的全部数据,所列测试表应有256种输入取值组合。 用实验箱、万用表作一个实验示范,并强调测试方法及万用表的用法。 实验二组合逻辑电路的实验分析 一、实验目的 1、学会组合逻辑电路的实验分析方法。 2、验证半加器、全加器的逻辑功能。 二、实验仪器及设备 1、数字逻辑实验箱 1台 2、元器件:74LS00、74LS20 各一块,74LS55、74LS86 各一块 电阻及导线若干 三、实验线路图 四、实验内容 1、测试用与非门构成的电路的逻辑功能 按图3-1接线。按下表要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能为半加器,写出逻辑表达式为:

数字电路实验课程教学大纲

数字电路实验课程教学大纲 一、课程基本信息 课程代码:92205011 课程中文名称:数字电路实验 课程英文名称:Experiment of Digital Circuit 课程性质:必修课 使用专业:电子信息与科学技术专业 开课学期:第四学期 总学时:36学时 总学分:2学分 预修课程:模拟电路(理论)、模拟电路(实验)及数字电路(理论) 课程简介 本课程是电子信息与科学技术专业的一门重要专业必修课程,它是一门验证理论、巩固所学数字电路理论知识、综合应用基本理论知识进行设计实践的课程。它担负着培养学生理论联系实际的能力,提高学生的动手能力、设计能力、分析问题和解决问题的能力的任务。数字电路实验包括验证基本理论、测试常用中规模芯片的功能、综合性应用及综合设计实验四个方面的内容。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的数字电路测试方法和调试的基本技能,加深对数字电路工作原理的理解和研究,培养实事求是,严谨的科学作风及创新意识和能力。 教材建议 数字电路实验黄文卿徐卫华李家旺编。 参考书 [1] 康华光主编《电子技术基础》第四版,高等教育出版社,修订时间:2006年。 [2] 阎石主编《数字电子技术基础》第四版,高等教育出版社,修订时间:2006年。 二、课程性质、目的及总体教学要求 课程的基本特性: 数字电路实验是数字电路课程的重要实践环节,学生通过实验将学到的数字电路分析和设计的理论应用于实践。学生通过验证,巩固课堂讲授的理论知识,综合应用电路理论知识,设计小型电路,安装,调

试电路,排除电路故障,培养调试和参数测试的能力,提高运用基本理论知识解决实际问题的能力。该实验课对鼓励学生创新,勇于思考,大胆提出问题,创造性地设计电路,调试电路,对培养创新精神和实践能力有重要作用。 课程的教学目的: 学生通过数字电路实验将学到的数字电路分析和设计的理论应用于实践。学生通过验证,巩固课堂讲授的理论知识,综合应用电路理论知识,设计小型电路,安装,调试电路,排除电路故障,培养调试和参数测试的能力,提高运用基本理论知识解决实际问题的能力。 课程的总体教学要求 通过本课程的学习,学生不仅学习到数字电路实验的基础知识,对小型实用电路设计方法、调试技能有一定的要求,因此对学生独立分析和解决实际问题的能力也要作一定的要求。 1.通过实验要求学生学会数字电路实验常用的仪器及设备的使用。 2.要求学生了解TTL、CMOS中小规模集成电路型号系列,使用注意事项。 3.掌握常用数字集成电路的主要参数及逻辑功能的测试方法。 4.要求学生会分析和设计组合逻辑电路,并能用小规模集成门电路和相应的中规模集成电路实现。5.要求学生学会分析和设计时序逻辑电路,并能用触发器或中规模时序电路实现。 6.要求学生初步具备设计、安装、调试小型实用数字电路的基本技能。 三、章节内容、学时分配及教学要求

数字电路实验基础知识

数字电路实验基础知识 一.实验的基本过程 实验的基本过程,应包括确定实验内容,选定最佳的实验方法和实验线路,拟出较好的实验步骤,合理选择仪器设备和元器件,进行连接安装和调试,最 后写出完整的实验报告。 在进行数字电路实验时,充分掌握和正确利用集成元件及其构成的数字电 路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做 好实验预习,实验记录和实验报告等环节。 (一)实验预习 认真预习是做好实验的关键,预习好坏,不仅关系到实验能否顺利进行, 而且直接影响实验效果,预习应按本教材的实验预习要求进行,在每次实验前 首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实 验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内 容包括: 1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于 连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值,必要时还须用文字说明。 2.拟定实验方法和步骤。 3.拟好记录实验数据的表格和波形座标。 4.列出元器件单。 (二)实验记录

实验记录是实验过程中获得的第一手资料,测试过程中所测试的数据和波 形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现 场及时重复测试,找出原因。实验记录应包括如下内容: 1.实验任务、名称及内容。 2.实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的 正确性。 3.记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对齐。 4.实验中实际使用的仪器型号和编号以及元器件使用情况。 5.实验报告 实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也 是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识 和理解,从而进一步扩大知识面。 实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。报告内 容应包括实验目的、实验内容和结果、实验使用仪器和元器件以及分析讨论等,其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且 要按实验任务逐个书写,每个实验任务应有如下内容: 1)实验课题的方框图、逻辑图(或测试电路)、状态图,真值表以及文字说 明等,对于设计性课题,还应有整个设计过程和关键的设计技巧说明。 2)实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和 波形图应利用三角板、曲线板等工具描绘,力求画得准确,不得随手示意画出。 3)实验结果分析、讨论及结论,对讨论的范围,没有严格要求,一般应对 重要的实验现象,结论加以讨论,以使进一步加深理解,此外,对实验中的异 常现象,可作一些简要说明,实验中有何收获,可谈一些心得体会。 二.实验中操作规范和常见故障检查方法

数字逻辑电路实验报告

数字逻辑电路实验报告 指导老师: 班级: 学号: 姓名:时间:

第一次试验 一、实验名称:组合逻辑电路设计 1 二、试验目的:掌握组合逻辑电路的功能测试。1、验证半加器和全加器的逻辑功能。2、、学会二进制数的运算规律。3、试验所用的器件和组件:三、74LS00 3片,型号二输入四“与非”门组件74LS20 1片,型号四输入二“与非”门组件74LS86 1片,型号二输入四“异或”门组件实验设计方案及逻辑图:四、/全减法器,如图所示:1、设计一位全加 时做减法运时做加法运算,当M=1M决定的,当M=0 电路做加法还是做减法是由SCin分别为加数、被加数和低位来的进位,、B和算。当作为全加法器时输入信号A分别为被减数,减数Cin、B和为和数,Co为向上的进位;当作为全减法时输入信号A 为向上位的借位。S为差,Co和低位来的借位,1)输入/(输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为:

Co的最简表达式为: 2 (3)逻辑电路图如下所示: 、舍入与检测电路的设计:2F1码,用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421 为奇偶检测输出信号。当电路检测到输入的代码大于或F2为“四舍五入”输出信号,的个数为奇数时,电路。当输入代码中含1F1=1;等于5是,电路的输出其他情况F1=0 F2=0。该电路的框图如图所示:的输出F2=1,其他情况 输出观察表如下:(输入/ 0 1 0 0 1 0 1 0 1 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 1 1 1

相关主题
文本预览
相关文档 最新文档