当前位置:文档之家› 数字逻辑--实验大纲1

数字逻辑--实验大纲1

数字逻辑--实验大纲1
数字逻辑--实验大纲1

数字逻辑 实验大纲

一、 主要目的

熟悉门电路、触发器、数据选择器、译码器、寄存器、计数器等小中规模集成电路的工作原理、特点及应用。

二、实验项目与计划机时数

说明:

三、实验项目、内容

1、集成门电路的逻辑功能与参数测试

目的:掌握TTL 和CMOS 集成与非门的逻辑功能、主要参数的测试方法和使用规则 内容:(1)验证74LS20的逻辑功能

(2) 实现函数B A B A F += 实验基本环境:数字逻辑实验箱

2、译码器及其应用

目的:掌握中规模集成译码器的逻辑功能和使用方法 内容:1、验证74LS138功能;

2、.用74LS138和与非门实现逻辑函数F(A,B,C)=∑(2,3,5,7)

3、设计一个多输出逻辑电路,该电路的输入为842I 码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于(5)10时,电路的输出F 1=1;其他情况F 1=0。当输入代码中含1的个数为奇数时,电路的输出F 2=1,其他情况F 2=0。该电

路的框图如图3.1所示。

实验基本环境:数字逻辑实验箱

3、数据选择器及其应用

目的:了解数据选择器工作原理和74LS153的使用方法 内容:

1、 验证74LS153功能;

2、 用74153实现逻辑函数F(A,B,C)=∑(3,4,6,7);

3、 并将2个4选1的数据选择器组成1个8选1的数据选择器。 实验基本环境:数字逻辑实验箱

附:74283加法器实现3位二进制数与2位二进制数乘法;

B 4 B 1

B 8 F 1 F 2

B 2 舍入与检测 电 路

图 舍入与检测电路结构框图

4、触发器及其应用

目的:掌握基本RS、JK、D、T触发器的逻辑功能、

使用方法及相互间的转换

内容:

1、验证JK触发器74LS11

2、D触发器74LS74的

功能

2、课本4-10;

实验基本环境:数字逻辑实验箱

附:熟悉74194移位寄存器的功能,构成环形或扭环计数器。

5、流水灯控制器设计(4学时)

设计一个流水灯控制电路。要求红、黄、绿三种颜色的灯在秒脉冲的作用下顺序、循环点亮。红、黄、绿灯每次亮的时间分别为5秒、1秒、10秒。

*SR PE CET CEP上升沿触发 ()

L X X X复位 (清零)

H L X X置位 (Pn→Qn)

H H H H计数(递增计数)

H H L X保值

H H X L保值

作业:第一章1-1,1-2,1-3,1-4,1-5,1-6,1-7,1-8,1-9,1-14,1-15,1-16,1-17,1-18,1-19,1-20,1-21

第三章3-1,3-2,3-3,3-4,3-5,3-9,3-11,3-12,3-13,3-16,3-17

第四章4-10

第五章5-4,5-5,5-6,5-16,5-17

讲述内容:1.1,1.2,1.4

3.1,3.2,3.3,3.4,3.5,3.7.1,3.9

4.1,4.2,4.4

5.1,5.2,5.3,5.4,5.6

5、计数器的设计

目的:掌握用中规模计数器设计M进制计数器方法

内容:用74LS90设计任意进制的计数器。

实验基本环境:数字逻辑实验箱

6、移位寄存器及其应用

目的:掌握用中规模4位双向移位寄存器的逻辑功能、使用方法及主要应用

内容:测试74LS194的逻辑功能。

实验基本环境:数字逻辑实验箱

五、实验学生要求

认真阅读实验指导书,写出相应实验项目的实验预习报告(实验报告基本要求中红色部分所包含的内容)。

六、实验报告基本要求

实验项目名称:

实验专业班级:实验学生姓名:实验日期指导教师:评阅教师签名:评阅结果

实验内容:

实验目的:

实验预期目的:

实验步骤与实验方法:

实验结论:

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验三 实验四

实验报告课程名称电子技术综合设计与实践 题目名称实验三、实验四 学生学院自动化学院 专业班级物联网工程 学号 学生姓名 指导教师 2016年 6 月 26 日 一、实验目的 1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。 2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 二、功能描述及分析 实验三: (1)分别用两个四位二进制数表示两个十进制数,如:用A3 A2 A1A0表示被加数,用B3B2B1B0表示加数,用S3 S2 S1 S0表示“和”,用C0表示进位。 (2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D3D2D1D0=0110; 当S<9时,修正值为D3D2D1D0=0000。

(3)由真值表,我们可以得出D3=D0=0,D2=D1=FC4 + S4(S3+S2) 实验四: (1)分别用四位二进制数来表示十进制数,触发器状态用DCBA表示,10个技术状态中的初值状态为0001。 (2)列出状态表,如下 (3)得出次态方程: D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D (4)选用D触发器来实现,求触发器激励函数 D4=BC, D3=B⊕C, D2=A D, D1=A⊕D (5)画出逻辑电路图如下: (6)四个触发器输出端一次输入到7447数码管译码器输入端。 三、实验器材 实验三: (1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED 显示灯。 (2)DE2开发板和QuartusⅡ7.2软件

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试 1.1.1 实验目的 (1)掌握数字电路实验仪器的使用方法。 (2)掌握门电路逻辑功能的测试方法。 1.1.2 实验设备 双踪示波器一台 数字电路实验箱一台 万用表一块 集成芯片:74LS00、74LS20 1.1.3 实验原理 图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。 Y A B 其逻辑表达式为:=? 图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。 Y A B C D 其逻辑表达式为:=??? 与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。 1.1.4 实验内容及步骤 (1)测量逻辑开关及电平指示功能 用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源 给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。 (3)检测译码显示器 用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。 (4)与非门逻辑功能测试 ①逻辑功能测试 将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。 表1.2 ②与非门对脉冲信号的反相传输及控制功能的测试 将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。 1.1.5 预习要求与思考题 (1)阅读实验原理、内容及步骤。 (2)了解集成芯片引脚的排列规律。 (3)TTL集成电路使用的电源电压是多少? (4)TTL与非门输入端悬空相当于输入什么电平?为什么? (5)如何处理各种门电路的多余输入端。 1.1.6 实验报告及要求 (1)画出规范的测试电路图及各个表格。

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑实验、知识点总结

数字逻辑实验报告、总结 专业班级:计算机科学与技术3班学号:41112115 姓名:华葱一、实验目的 1.熟悉电子集成实验箱的基本结构和基本操作 2.通过实验进一步熟悉各种常用SSI块和MSI块的结构、各管脚功能、工作原理连接方法 3.通过实验进一步理解MSI块的各输入使能、输出使能的作用(存在的必要性) 4.通过实验明确数字逻辑这门课程在计算机专业众多课程中所处的位置,进一步明确学习计算机软硬件学习的主线思路以 及它们之间的关系学会正确学习硬件知识的方法。 二、实验器材 1.集成电路实验箱 2.导线若干 3.14插脚、16插脚拓展板 4.各种必要的SSI块和MSI块 三、各次实验过程、内容简述 (一)第一次实验:利用SSI块中的门电路设计一个二进制一位半加器 1.实验原理:根据两个一位二进制数x、y相加的和与进 位的真值表,可得:和sum=x异或y,进位C out=x×y。 相应电路:

2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令={<0,0>,<0,1>,<1,0>,<1,1>}, 看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相应, 则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能端 的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相加 结果,还要考虑低位(前一位)对这一位的进位问题。 由于进位与当前位的运算关系仍然是和的关系,所以新 引入的低位进位端C in 应当与当前和sum 再取异或,而 得到真正的和Sum ;而进位位C out 的产生有三种情况: ={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、 y 、C in 中当且仅当其中的两个数为1,另一个数为0的 Sum Cout

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

华中科技大学数字逻辑实验

数字逻辑实验报告(1)数字逻辑实验1 一、系列二进制加法器 设计50% 二、小型实验室门禁系 统设计50% 总成绩 姓名: 学号: 班级: 评语:(包含:预习报告内容、实验过程、实验结果及分析)

指导教师: 计算机科学与技术学院 20 年月日 数字逻辑实验报告系列二进制加法器设计预习报告

一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,

电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器 利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九 个输入A 3、A 2 、A 1 、A 、B 3 、B 2 、B 1 、B 和C ,五个输出S 3 、S 2 、S 1 、S 和C 4 。输入 A= A 3A 2 A 1 A 、B= B 3 B 2 B 1 B 和C 分别为被加数、加数和来自低位的进位,输出S= S 3 S 2 S 1 S 和C o 为本位和和向高位的进位。 (5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性 将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。 图1-1“私有”的先行进位的四位二进制并行加法器 5、实验方案设计 (1)一位二进制半加器的设计方案

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字逻辑实验报告

计算机专业类课程 实 验 报 告 课程名称:数字逻辑 学院:计算机科学与工程学院专业:计算机科学与技术 学生姓名: 学号: 指导教师: 评分: 日期:2014年6月2日 电子科技大学计算机学院实验中心

电 子 科 技 大 学 实 验 报 告 实验一:基本门电路的功能和特性实验 实验时间: 2014.4.20 一、实验目的 I.了解集成电路的外引线排列及其使用方法 II. 掌握常用集成门电路的逻辑功能与特性 III.学习组合逻辑电路的设计及测试方法 IV.了解集成电路外引线的方式方法。 V.了解测试电路的基本方法 VI. 掌握常用集成门电路的逻辑功能与特性 实验内容 部分TTL 门电路逻辑功能验证包括: ● 二输入四与非门7400 ● 二输入四或门7432 ● 二输入四异或门7486 ● 6反相器7404 二、实验原理 1)逻辑代数系统满足的5条公理 ● 交换律:A B B A +=+ A B B A ?=? ● 结合律:)()(C B A C B A ++=++ )()(C B A C B A ??=?? ● 分配律:C A B A C B A ?+?=+?)( )()(C A B A C B A +?+=?+ ● 0-1律:A A =+0 11=+A A A =?1 00=?A ● 互补律:1=+A A 0=?A A 此外,还满足摩根定律:B A B A +=? B A B A ?=+ 2)实验涉及门电路的引脚图如图1.1所示

电子科技大学计算机学院实验中心 图1.1 3) 组合逻辑电路的分析思路: 4) 组合逻辑电路设计思路: 如果掌握了以上两种分析方法后,再对我们的需求进行分析,即可对一般电路进行分析、设计,从而可以正确的使用被分析的电路一级设计出能满足逻辑功能和技术指标要求的电路了! 写函数关系式 对函数式进行化简或变换; 根据最简式列真值表 判断逻辑功能 根据给定事件的因果关系列出真值表 由真值表写函数式 对函数式进行化简或变换 画出逻辑图,并测试逻辑功能

数字逻辑心得体会(精选多篇)

数字逻辑心得体会(精选多篇) 第一篇:数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达 清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:

相关主题
文本预览
相关文档 最新文档