当前位置:文档之家› 《数字电路》实验讲义

《数字电路》实验讲义

《数字电路》实验讲义
《数字电路》实验讲义

B

A

?B

A

电子信息与机电工程学院电子技术实验室编写

2009年9月

目录

实验注意事项 (1)

实验一仪器使用及逻辑电路实验 (2)

实验二集成逻辑门电路的基本应用 (7)

实验三组合逻辑电路的实验分析 (9)

实验四组合逻辑电路设计与测试 (9)

实验五触发器的功能测试....................................... (11)

实验六计数器的应用......................................... (14)

附录A 数字集成电路(TTL电路)的使用规则................... ..16 附录B 常用芯片的引脚号和信号名称.. (17)

附录C DZX-1型电子学综合实验装置使用说明.……...…… .. 16

实验注意事项

1、实验前认真阅读实验指导书,熟悉实验目的、实验内容及实验步骤。

2、进入实验室后,必须严格遵守实验室的一切规章制度。按已分好的小组进行实验。

3、了解并熟悉实验设备及器件(从附录B中查清所选用集成块的引脚及功能,特别注意集成块V CC及GND的接线不能错),按实验要求连好线路,自已检查无误或经指导教师同意,方可通电继续进行实验。

4、发生事故时,应立即断开电源,保持现场,待找出并排除故障后,方可继续进行实验。

5、实验过程中仔细观察实验现象,认真做好记录。

6、需要变更原实验线路进行后面实验内容时,必须先切断电源,不能带电插拔元器件。

7、培养踏实、严谨、实事求是的科学作风。

8、爱护实验室财物,当发生仪器、设备损坏时,必须认真检查原因,并立即告知教师及实验室管理员,以便按实验室有关条例处理。

9、保持实验室内安静、整洁以及良好的秩序。实验结束应将仪器、元件、导线等整理好放妥,并协助实验室管理员搞好清洁卫生。

实验一仪器使用及逻辑电路实验

一、实验目的

(一)熟练掌握万用表、综合实验装置中的电源、示波器的使用方法。

(二)熟悉综合性实验装置的基本功能和使用方法。

(三)熟悉基本门电路的功能。

二、实验仪器和设备

通用型综合性实验装置系统数字万用表示波器74LS00集成三、实验内容及步骤

(一)掌握实验台中的“时钟电路(基准脉冲电路)”、“逻辑电平开关电路(开关电平)”、“LED显示电路”、“单次脉冲电路”、“通用集成电路插座”、“数字电路实验区”电路原理及使用方法。

1、用示波器测试实验台中“时钟脉冲”其中一路的输出信号,把测出来的波形图及电压峰峰值V P-P、周期值T标注在图1-1中。计算出电压峰峰值V P-P、周期值T。

t

图1-1

电压峰峰值V P-P= 周期T=

2、从“逻辑电平开关电路(十六位开关电平输出)”中任选一电

平开关,上下拔动改变开关位置,连接LED ,用LED 显示电路测定其电平并用万用表测出电压值。

开关位置 指示灯状态 电平 电压 拔上 拔下

(二)测试逻辑电路基本元件——门电路的逻辑功能。 1、观察74LS00门电路的外形结构,对照其插脚(见附录B )并记住各脚的引线排列顺序及其内部电路图。以此芯片作为本次实验的入门测试实验对象。在“通用集成电路插座E ”中插入74LS00逻辑电路芯片。

2、74LS00为4个2输入端的与非 门电路,可选任其中一个与非门接成实 验电路,如图1-2。

3、其中输入端A 、B 应与输出端Y 对应为同一个门电路的相关端点。

4、分别把A 、B 端接到两个“逻辑 图1-2 B A Y . 电平开关”,用开关控制A 、B 端的输入

状态;Y 端接“LED 显示电路”用灯来 观测输出端Y 的状态。

5、芯片接电源。#14脚接至实验台电源 +5V ,#7脚接地。

6、通电后开始测试。改变电平开关位置,分别输入电平,用LED 显示电路测定输出端Y 的电平,并用万用表测出各点的电压。

输 A 入 B 端

出 端

将测出的结果填入下表中:

7、用上表数据检验布尔代数式:B

Y?

=

A

四、实验报告要求

1、整理实验数据、图表并对实验结果进行分析讨论。

2、实验电路中工作电源取自装置的直流电源中哪一路输出?

3、在实验过程中,如果得不出正确的数据,你是从哪些方面分析原因的?

实验二 集成逻辑门电路的基本应用

一、实验目的

(一) 熟悉用标准与非门实现逻辑变换的方法。 (二) 学习与非门电路的应用。 (三) 掌握半加器电路结构和逻辑功能。 二、实验仪器和设备

通用综合实验装置 万用表 74LS00 74LS86集成电路 三、实验步骤及内容

(一)利用摩根定理可以对逻辑函数化简或进行逻辑变换。

摩根定律:)( +++C B A = ???C B A = +++C B A

1、利用与非门组成一个与门的电路设计。与非门的布尔代数表达式为:B A Y ?=,而与门的布尔代数表达式为:B A Z ?=,只要把与非门的输出Y 反相一次,即可得到与非门的功能:

Z B A =?=Y

=B A ?

方法:用二个与非门即可实现与门的功能。将测试电路图画在下面空白处,并将测试结果记录于表2-1。

2、利用与非门组成一个或门的电路

设计。或门的布尔代数表达式为:Z=A+B ,根据摩根定律可知: Z=A+B =B A ?

方法:用三个与非门连接起来,即可实现或门的功能。将测试电路图画在下面空白处,并将测试结果记录于表2-2。

(二)1、利用与非门完成一个电平“0”的控制器。电路的输入端接一个1MHZ 的脉冲信号,其输出端只能输出电平为“1”的信号。

2、用奇数个与非门构成环形振荡器,如图2-1所示。振荡频率为:

pd

nt f 21

=

,用示波器观察波形,测量振荡频率,计算与非门的

图2-1 与非门构成环形振荡器

平均延迟时间pd t 。其中,n 是与非门的个数。

(三)半加器逻辑功能的测试。用一个与门及一异或门(74LS86)组成一位半加器,测试其逻辑功能。如图2-1所示

表2-1

S 图2-1

V 0

四、实验报告要求

(一)整理实验数据、图表并对实验结果进行分析。

(二)请讨论下述两个问题:

1、门电路芯片74LS00中不用的门应如何处理?

2、一个与非门中不用的输入端应如何处理?

实验三组合逻辑电路的实验分析

一、实验目的

半加器及全加器是CPU中的ALU(算术逻辑单元)主要电路。本实验目的就是学会组成这两种主要电路的连接方法,进行测试验证。

二、实验仪器和设备

通用微机接口实验系统微机电源万用表74LS00,74LS86 74LS54 74LS138

三、实验步骤及内容

1.分析、测试用与非门74LS00组成的半加器的逻辑功能

⑴写出图3-1的逻辑表达式

⑵根据表达式列出真值表3-1,并画出卡诺图判断能否简化。

表3-1

⑶按图3-1连线,将

A及i B分别接至逻辑电平开关1K及2K,i C及

i

S分别接至LED电平显示电路1L及2L。

i

⑷给

A及i B以不同的电平,观察i C及i S的电平并记于表3-2中, i

同时与上表3-1比较,看两者是否一致.

2.分析用一个与门(实验系统中)及一异或门(74LS86)组成半加器电路,电路如图3-2。

(1)查阅本书附录B,记下74LS86 的结构和引线的排列,按图连线,测 试方法同1.(3)项,将测试结果填 入自拟的表格中,并验证逻辑功能。

3. 分析测试用异或门、与非门和或门组成的全加器逻辑电路。 根据全加器的逻辑表达式 全加和 1i i i i C )B A (S -⊕⊕= 进位 i i 1i i i i B A C )B A (C ?+?⊕=-

可知一位全加器可以用两个异或门和两个与门及一个或门组成。

⑴画出用上述门电路实现的全加器逻辑电路。

⑵按所画的原理图,选择器件,并接线。

⑶进行逻辑功能测试,将测试结果填入自拟表格,判断测试是否

正确。

四、实验报告要求

1、整理实验数据、图表并对实验结果进行分析讨论。

2、总结组合电路的分析与测试方法。

实验四组合逻辑电路设计与测试

一、实验目的

(一)掌握组合电路的设计与测试方法。

(二)了解译码器的性能和使用方法。

二、实验仪器和设备

通用微机接口实验系统微机电源万用表74LS00 74LS20 74LS54 74LS138

三、验步骤及内容

(一)用逻辑门构成组合逻辑电路的设计方法

血型符合电路

人的基本血型分为O、A、B和AB四种。输血者和受血者的血型必须符合下述原则才可以进行输血:O型可以输给任意血型的人,但O型只能接受O型血;A型只能输给A型和AB血型的人,A型只能接受A型和O型血;B型只能输给B型和AB血型的人,B型只能接受B型和O型血;AB型只能输给AB血型的人,但AB型可以接受任意血型。试用与或非门构成一个判断能否输血的电路。

设计方法:

1、定义与赋值

用自变量KL表示输血人的血型,MN表示受血人的血型。00表示O型,10表示A型,01表示B型,11表示AB型。Y=1表示血型符合;Y=0表示血型不符合。

2、列出真值表。

3、画卡诺图,化简得出逻辑表达式。

4、画逻辑图。

5、测试电路的逻辑功能,检验设计电路的正确性。

(二)用中规模集成电路构成组合逻辑电路

设计一个表决电路

三个人参加投票,当有二个以上(包括二个)赞成时就表示通过,否则不能通过。(用3-8线二进制译码器74LS138等)构成。

设计方法1、定义与赋值,将地址输入端A2、A1、A0作为自变量,Y 作为结果的输出。

2、列真值表,写出逻辑表达式。

3、画逻辑图

4、测试逻辑功能,检验设计电路的正确性。

实验五触发器的功能测试

一、实验目的

(一)掌握基本RS触发器的功能测试。

(二)掌握集成触发器的电路组成形式及其功能。

(三)熟悉时钟触发器不同逻辑功能之间的相互转换。

二、实验仪器和设备

通用微机接口实验系统微机电源74LS00 74LS74 74LS76 三、实验步骤及内容

触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一种具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。

(一)测试基本RS触发器的逻辑功能

用两个与非门组成基本RS触发器如图4-1,输入端R,S接逻辑电平开关输出插口,输出端Q、Q接逻辑电平显示插口,按表4-1要求测试。

表4-1图4-1 基本RS触发器

R

S

Q

Q

?

?

(二)测试集成双JK触发器74LS76的逻辑功能

1、测试

R、D S端的复位、置位功能

D

74LS76逻辑符号如图4-2,对照其插脚(查阅附录B)取其中一JK触发器,

R、D S、J、K端分别接逻辑电平开关输出插口,CP接D

单次脉冲源(正脉冲),Q、Q接至逻辑电平显示输入插口。要求在

R=0,D S=1以及D S=0,D R=1时任意改变J、K及CP的状态用“ⅹ”

D

符号表示,观测Q、Q状态。

2、测试触发器的逻辑功能

按表4-2的要求改变J、K、CP端状态,记录Q的状态变化,观察触发器状态的更新发生在CP脉冲(单脉冲)的上降沿还是下降沿?(注意

R、D S端的电平接法)

D

D D

图4-2 74LS76逻辑符号

3、JK触发器的J、K端连在一起,构成T‘触发器。

在CP 端输入1MHZ 连续脉冲,用双踪示波器观察CP 、Q 端的波形,注意相位与时间的关系。

(三)测试集成双D 触发器74LS74的逻辑功能

1、74LS74逻辑符号如图4-3,对照其插脚,任取一只D 触发器,按表4-3要求进行测试,并观察触发器状态的更新是在CP 脉冲的上降沿还是下降沿?(注意D R 、D S 端的电平接法)

表4-3

图4-3 74LS74逻辑符号

2、将D 触发器的Q 端与D 端相连接,构成'T 触发器。 测试方法同实验内容(二)(3)。

四、实验报告

(一)列表记录各触发器的逻辑功能。

(二)描绘各测试波形,并说明各触发器的触发方式。 (三)体会触发器的应用

实验六计数器的应用

一、实验目的

(一)学习用集成触发器构成计数器的方法。

(二)掌握行波计数器的电路组成方法及其测试。

(三)学习环形计数器的电路设计及其测试方法。

二、实验仪器和设备

通用微机接口实验系统微机电源74LS00 74LS74 74LS76 三、实验步骤及内容

在数学电路中,把记忆输入CP脉冲个数的操作叫做计数,能实现计数操作的电子电路称为计数器。

(一)3位二进制异步行波计数器设计和测试

3位二进制计数器从起始的状态000到111共8个状态,因此又称为八进制加法计数器。由于JK触发器功能齐全、使用灵活,故电路选用边沿JK触发器(两片74LS76)组成,如图5-1。

1、开始计数前首先使计数器处于起始状态为0,即全部JK触发器清零。

2、使计数器处于计数状态。

3、从CP端输入单脉冲,每输入一个就记录一次输出端Q0、Q1、Q2的值于表5-1中。

表5-1 4、在CP 端输入1MHZ 连续脉冲,用双踪示波器测出CP 、Q 0、Q 1、Q 2端的波形,比较它们相位、时间、电压的不同。 (二)环形计数器的设计

CP

图5-1

《电子线路实验》教学大纲数字电路实验

《电子线路实验》教学大纲 数字电路实验 课程编号: 课程名称:电子线路实验(数字)英文名称:Experiments of Electronics Circuits 学时:20(30)学分:1 课程类型:必修课程性质:公共基础课 适用专业:通院、电院、机电院各专业先修课程:模拟、数字、高频电路 开课学期:第四和第五学期开课院系:电工电子实验中心、通信工程、电子工程学院 一、课程的教学目标与任务 通过电子线路实验,培养学生的基本实验技能,加深对低频、高频和数字电路理论的理解,学会常用仪器仪表的原理和使用。使学生掌握常用电子线路的设计、组装、调整和测试技能,并初步具备工程实践能力,以及应用计算机仿真软件分析与设计实验电路的能力。 二、本课程与其它课程的联系和分工 本课程是通信工程学院、电子工程学院、机电工程学院各专业共同必修的技术基础实践教学课。是模拟电子线路、数字电路、高频电子线路理论课教学的延伸,是培养学生实际工作能力,启发创新意识的重要环节。 三、课程内容及基本要求 实验一:基本门测试及振荡器研究实验(4学时) 熟悉基本门电路的工作原理;学会基本门的测试;熟悉用基本门构成振荡电路;掌握用示波器观察和测量振荡器各级的波形及其参数的方法;学会频率计的正确使用。 实验二:组合逻辑电路设计(基本)(4学时) 1)掌握组合逻辑电路的设计方法。 2)进一步熟悉和掌握集成电路功能测试方法。 3)学习组合逻辑电路中故障的查找、排除方法及整个电路的调试。 实验三:编码器与译码器(4学时) 1)学习中规模集成编码器和译码器的工作原理、器件结构和使用方法; 2)掌握编码器和译码器的工作原理和设计方法。 实验三:时序逻辑电路实验(基本)(4学时) 1)熟悉常用触发器、计数器、移位寄存器的工作原理、逻辑功能和译码显示原理。 2)学会用现有集成计数器组成N进制计数器。 实验四:综合实验I(基本)(4(8)学时)

通信电子线路实验报告4

大连理工大学 本科实验报告 课程名称:通信电子线路实验 学院:电子信息与电气工程学部专业:电子信息工程 班级:电子0904 学号: 200901201 学生姓名:朱娅 2011年11月20日

实验四、调幅系统实验及模拟通话系统 一、实验目的 1.掌握调幅发射机、接收机的整机结构和组成原理,建立振幅调制与 解调的系统概念。 2.掌握系统联调的方法,培养解决实际问题的能力。 3.使用调幅实验系统进行模拟语音通话实验。 二、实验内容 1.实验内容及步骤,说明每一步骤线路的连接和波形 (一)调幅发射机组成与调试 (1)通过拨码开关S2 使高频振荡器成为晶体振荡器,产生稳定的等幅高频振荡,作为载波信号。拨码开关S3 全部开路,将拨码开关S4 中“3”置于“ON”。用示波器观察高频振荡器后一级的射随器缓冲输出,调整电位器VR5,使输出幅度为0.3V左右。将其加到由MC1496 构成的调幅器的载波输入端。 波形:此时示波器上,波形为一正弦波,f=10.000MHz,Vpp=0.3V。 (2)改变跳线,将低频调制信号(板上的正弦波低频信号发生器)接至模拟乘法器调幅电路的调制信号输入端,用示波器观察J19 波形,调VR9,使低频振荡器输出正弦信号的峰-峰值Vp-p 为0.1~0.2V. 波形:此时示波器上,波形为一正弦波,f=1.6kHz,Vpp=0.2V。 (3)观察调幅器输出,应为普通调幅波。可调整VR8、VR9 和VR11,

使输出的波形为普通的调幅波(含有载波,m 约为30%)。 (4)将普通的调幅波连接到前置放大器(末前级之前的高频信号缓冲器)输入端,观察到放大后的调幅波。 波形:前置放大后的一调幅波,包络形状与调制信号相似,频率特性为载波信号频率。f?=1.6kHz,Vpp=0.8V,m≈30%。 (5)调整前置放大器的增益,使其输出幅度1Vp-p 左右的不失真调幅波,并送入下一级高频功率放大电路中。 (6)高频功率放大器部分由两级组成,第一级是甲类功放作为激励级,第二级是丙类功放。给末级丙类功放加上+12V 电源,调节VR4 使J8(JF.OUT)输出6Vp-p左右不失真的放大信号,在丙类功放的输出端,可观察到经放大后的调幅波,改变电位器VR6 可改变丙类放大器的增益,调节CT2 可以看到LC 负载回路调谐时对输出波形的影响。 波形:此时示波器上为放大后的调幅波,f?=1.6kHz,Vpp=8V,m≈30%。 (二)调幅接收机的组成与调试 从GP-4 实验箱的系统电路图可以看出调幅接收机部分采用了二次变频电路,其中频频率分别为:第一中频6.455MHz,第二中频455kHz。由于该二次变频接收机的两个本机振荡器均采用了石英晶体振荡器,其中第一本振频率16.455MHz,第二本振频率6.000MHz,也就是说本振频率不可调。这样实验箱的调幅接收机可以接收的频率就因为第一本振频率不可调而被固定下来,即该机可以接收的已调波的中心频率应该为10.000MHz(第1本振频率-第1中频频率 = 16.455MHz - 6.455MHz =

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

16学时数字逻辑实验要求及芯片引脚图

16学时数字逻辑实验内容及要求(附录:实验用IC器件引脚图) 实验一组合逻辑及应用电路实验 1.实验目的: (1)了解并掌握基本逻辑门电路及常用组合逻辑部件的逻辑功能; (2)熟悉基本逻辑门及常用组合逻辑部件的应用; (3)学习并掌握数字逻辑实验台的使用方法。 2.实验所用器件: 四二输入端与非门,型号为:74LS00 四异或门,型号为:74LS86 双2-4线译码器74LS139 等(根据实际使用填写) 3.实验内容及要求 (1)用实验验证74LS86的逻辑功能并填写真值表。 (2)用一片74LS00实现一2输入端异或门的功能。 (3)将74LS139扩展成3-8线译码器的功能。 (4)在第(3)步的基础上再加上与非门构成一位全加器。 实验二触发器功能及应用电路实验 1.实验目的 (1)熟悉常用触发器的功能及功能互换; (2)熟悉时序逻辑电路的状态分析方法; (3)触发器的简单应用电路实验分析; (4)实验观察时序逻辑电路的初始状态对电路工作的影响; (5)了解时序逻辑电路自启动的意义。 2.实验所用器件 D触发器二片,型号为:74LS74 与非门一片,型号为:74LS00 等(根据实际使用填写) 3.实验内容及要求 (1)验证74LS74的逻辑功能,填写功能表,注意观察上升沿触发方式; (2)用D触发器和门电路模拟实现JK触发器功能并填写其功能表; (3)用D触发器和门电路模拟实现T触发器功能并填写其功能表; (4)由D触发器及门电路构成有用的四位环型计数器,实验观察并记录电路运行状态。

实验三时序电路功能组件及应用电路实验 1.实验目的 (1)熟悉中规模集成移位寄存器74LS194的逻辑功能及简单应用; (2)熟悉中规模集成计数器74LS161功能及简单应用; (3)学会使用七段字形译码器及共阴极七段LED数字显示器。 2.实验所用器件 四位二进制加法计数器1片,型号为:74LS161 寄存器1片,型号为:74LS194 等(根据实际使用填写) 3.实验内容及要求 (1)验证寄存器(74LS194)、计数器(74LS161)的逻辑功能,通过实验填写功能表;(2)用74LS161及门电路分别采用复位法和置数法构成一位8421BCD码计数显示电路;(3)用74LS194及门电路构成有用的四位环型计数器。 实验四串行加法器的设计 1.实验目的 较复杂数字逻辑电路的设计方法及实验分析。 2.实验所用器件 4位移位寄存器组件2片,型号为:74LS194 D触发器1片,型号为:74LS74 等(根据实际使用填写) 3.实验内容及要求 (1)按如下串行加法器框图设计电路图实现四位二进制的加法; 4位被加数移位寄存器 为了清楚地看到逐位相加情况,时钟脉冲应采用单脉冲,注意电路清“0”作用。 (2)任意给定X,Y,给电路加入4个单脉冲,逐一观察并记录电路工作情况; (3)4个脉冲后,X+Y的和存放在A中,X+Y的最高位即进位存放在何处。串行加法器的加法速度如何计算。

模拟和数字电路实验(I)教学大纲

《模拟和数字电路实验(I)》教学大纲 《模拟和数字电路实验I》中实验教学内容分低频电路和高频电路,根据教学要求,制定本大纲。本大纲适用电子科学与信息专业本科生,其它专业的相关课程可以参考本大纲。 《模拟和数字电路实验I》课程计划1.5个学分,48课时,低频电路实验部分计划36学时,高频电路实验部分计划12学时。实验内容在保留了传统的验证性实验的基础上,增设了计算机仿真实验,如EWB,PSPICE等操作软件的应用。 实验课程名称:模拟和数字电路实验I实验课程性质:专业基础 实验室名称:模拟电路实验室本大纲主撰人:钱晓英 实验课程编号: 适用专业:电子科学与信息专业 开设实验项目数:17个 一、实验教学目标与要求: 1.巩固和加深电子线路的基础理论和基本概念,学会灵活应用电子线路的技能。 2.熟悉常用电子元件和器件的性能,掌握基本测量方法和使用方法。 3.通过实验能正确且较熟练地掌握常用仪器如示波器、毫伏表、高、低频信号发生器、失真度仪、数字式频率计、晶体管特性图示仪……等基本工作原理和性能,掌握调节和使用方法。 4.掌握电子线路基本参数的测量原理和方法。 5.掌握电子线路安装、调整技术,培养分析、判断电路故障的能力和解决问题的方法。6.了解EDA知识,掌握用EWB、PSPISE等软件分析电路的方法。 二、考核办法: 1、实验成绩由两部分组成:平时分和考试分。 2、平时分占总分的70℅。平时分的考核标准为实验内容的完成情况,实验报告情况。 3、考试分占总分的30℅。考试形式可以是操作考试,也可以是笔试。 三、课程内容和课时分配

注:实验项目19个,54课时,打“*”为选做项目,可以根椐要求选择,完成48课时的教学任务。 二、实验教科书、参考书 (一)教科书 1、《TPE—A型系列模拟电路实验箱》使用说明书 2、《模拟电路实验指导书》清华大学科教仪器厂 (二)参考书 1、《模拟电子线路》华中师范大学物理系万嘉若林康运等编 2、《综合电子技术问答》汪源睿编著科学出版社 3、《电子技术基础》(模拟部分)华中工学院电子教研室康华光高等教育出版社 4、《模拟电子技术基础简明教程》清华大学电子学教研室编 5、《电子线路(非线性部分)》谢嘉奎宣月清编 2003年7月订

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

通信电子线路Multisim仿真实验报告

通信电子线路实验报告Multisim调制电路仿真

目录 一、综述 .......................... 错误!未定义书签。 二、实验内容 ...................... 错误!未定义书签。 1.常规调幅AM ................... 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 (3)结论: ...................... 错误!未定义书签。 2.双边带调制DSB ................ 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 3.单边带调制SSB ................ 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 4.调频电路FM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 5.调相电路PM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图............ 错误!未定义书签。 三、实验感想 ...................... 错误!未定义书签。

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试 1.1.1 实验目的 (1)掌握数字电路实验仪器的使用方法。 (2)掌握门电路逻辑功能的测试方法。 1.1.2 实验设备 双踪示波器一台 数字电路实验箱一台 万用表一块 集成芯片:74LS00、74LS20 1.1.3 实验原理 图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。 Y A B 其逻辑表达式为:=? 图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。 Y A B C D 其逻辑表达式为:=??? 与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。 1.1.4 实验内容及步骤 (1)测量逻辑开关及电平指示功能 用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源 给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。 (3)检测译码显示器 用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。 (4)与非门逻辑功能测试 ①逻辑功能测试 将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。 表1.2 ②与非门对脉冲信号的反相传输及控制功能的测试 将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。 1.1.5 预习要求与思考题 (1)阅读实验原理、内容及步骤。 (2)了解集成芯片引脚的排列规律。 (3)TTL集成电路使用的电源电压是多少? (4)TTL与非门输入端悬空相当于输入什么电平?为什么? (5)如何处理各种门电路的多余输入端。 1.1.6 实验报告及要求 (1)画出规范的测试电路图及各个表格。

通信电子线路实物实验报告

东南大学电工电子实验中心 实验报告 课程名称:电子电路与综合实验 第一次实物实验 院(系):信息科学与工程学院专业:信息工程姓名:陈金炜学号:04013130 实验室:高频实验室实验组别: 同组人员:陈秦郭子衡邹俊昊实验时间:2015年11月21日评定成绩:审阅教师:

实验一常用仪器使用 一、实验目的 1. 通过实验掌握常用示波器、信号源和频谱仪等仪器的使用,并理解常用仪器的基本工作 原理; 2.通过实验掌握振幅调制、频率调制的基本概念。 二、实验仪器 示波器(带宽大于 100MHz) 1台 万用表 1台 双路直流稳压电源 1台 信号发生器 1台 频谱仪 1台 多功能实验箱 1 套 多功能智能测试仪1 台 三、实验内容 1、说明频谱仪的主要工作原理,示波器测量精度与示波器带宽、与被测信号频率之间关系。 答: (1)频谱仪结构框图为: 频谱仪的主要工作原理: ①对信号进行时域的采集,对其进行傅里叶变换,将其转换成频域信号。这种方法对于AD 要求很高,但还是难以分析高频信号。

②通过直接接收,称为超外差接收直接扫描调谐分析仪。即:信号通过混频器与本振混频后得到中频,采用固定中频的办法,并使本振在信号可能的频谱范围内变化。得到中频后进行滤波和检波,就可以获取信号中某一频率分量的大小。 (2)示波器的测量精度与示波器带宽、被测信号频率之间的关系: 示波器的带宽越宽,在通带内的衰减就越缓慢; 示波器带宽越宽,被测信号频率离示波器通带截止频率点就越远,则测得的数据精度约高。 2、画出示波器测量电源上电时间示意图,说明示波器可以捕获电源上电上升时间的工作原理。 答: 上电时间示意图: 工作原理: 捕获这个过程需要示波器采样周期小于过渡时间。示波器探头与电源相连,使示波器工作于“正常”触发方式,接通电源后,便有电信号进入示波器,由于示波器为“正常”触发方式,所以在屏幕上会显示出电势波形;并且当上电完成后,由于没有触发信号,示波器将不再显示此信号。这样,就可以利用游标读出电源上电的上升时间。 3、简要说明在FM 调制过程中,调制信号的幅度与频率信息是如何加到FM 波中的? 答: 载波的瞬时角频率为()()c f t k u t ωωΩ=+,(其中f k 为与电路有关的调频比例常数) 已调的瞬时相角为00 t ()()t t c f t dt t k u t dt θωωθΩ =++? ?()= 所以FM 已调波的表达式为:000 ()cos[()]t om c f u t U t k u t dt ωθΩ =++? 当()cos m u t U t ΩΩ=Ω时,00()cos[sin ]om c f u t U t M t ωθ=+Ω+ 其中f M 为调制指数其值与调制信号的幅度m U Ω成正比,与调制信号的角频率Ω反比,即 m f f U M k Ω=Ω 。这样,调制信号的幅度与频率信息是已加到 FM 波中。

数字电路实验讲义

实验一KHD-2型数字电路实验装置的使用和 集成门电路逻辑功能的测试 一、实验目的 1.熟悉和掌握KHD-2型数字电路实验装置的使用。 2.熟悉74LS20和74LS00集成门电路的外形和管脚引线。 3.掌握与门、或门、非门、与非门、或非门和异或门逻辑功能的测试。 二、实验器材及设备 1.KHD-2数字电路实验台 2.4输入2与非门74LS20(1块) 3.2输入4与非门74LS00或CC4011(1块) 三、实验原理 (一)KHD-2型数字电路实验台 KHD-2型数字电路实验台由实验控制屏与实验桌组成。实验控制屏主要由两块单面敷铜印刷线路板与相应电源、仪器仪表等组成。控制屏由两块相同的数电实验功能板组成,其控制屏两侧均装有交流电压220V的单相三芯电源插座。每块实验功能板上均包含以下各部分内容: 1.实验板上装有一只电源总开关及一只熔断器(额定电流为1A)作为短路保护用。 2.实验板上共装有600多个高可靠的自锁紧式、防转、叠插式插座。它们与集成电路插座、镀银针管座以及其他固定器件、线路的连线已设计在印刷线路板上。板正面印有黑线条连接的器件,表示反面已装上器件并接通。 3.实验板上共装有200多根镀银长15mm的紫铜针管插座,供实验时接插小型电位器、电阻、电容、三极管及其他电子器件使用。 4.实验板上装有四路直流稳压电源(±5V、1A及两路0~18V、0.75A可调的直流稳 压电源)。实验板上标有处,是指实验时需用导线将直流电源+5V引入该处,是+5V 电源的输入插口。 5.高性能双列直插式圆集成电路插座18只(其中40P 1只、28P 1只、24P 1只、20P 1只、16P 5只、14P 6只、8P 2只、40P锁紧座1只)。 6.6位十六进制七段译码器与LED数码显示器:每一位译码器均采用可编程器件GAL 设计而成,具有十六进制全译码功能。显示器采用LED共阴极红色数码管(与译码器在反面已连接好),可显示四位BCD十六进制的全译码代号:0、1、2、3、4、5、6、7、8、9、A、B、C、D、E和F。 使用时,只要用锁紧线将+5V在没有BCD码输入时六位译码器均显示“F”。 7.四位BCD码十进制拔码开关组:每一位的显示窗指示出0~9中的任一个十进制数字,在A、B、C、D四个输出插口处输出相对应的BCD码。每按动一次“+”或“ ”键,将顺序地进行加1计数或减1计数。 若将某位拔码开关的输出口A、B、C、D连接在“2”的一位译码显示的输入端口A、B、C、D处,当接通+5V电源时,数码管将点亮显示出与拔码开关所指示一致的数字。

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字逻辑电路实验报告

. .. 数字逻辑电路设计 --多功能数字钟 学院:计算机科学与通信工程 专业: : 学号: 指导老师:

多功能数字钟 一、设计任务及要求 (1)拥有正常的时、分、秒计时功能。 (2)能利用实验板上的按键实现校时、校分及清零功能。 (3)能利用实验板上的扬声器做整点报时。 (4)闹钟功能 (5)在MAXPLUS II 中采用层次化设计方法进行设计。 (6)在完成全部电路设计后在实验板上下载,验证设计课题的正确性。 二、多功能数字钟的总体设计和顶层原理图 作为根据总体设计框图,可以将整个系统分为六个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。

(1)计时模块 该模块使用74LS160构成的一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制计数器用于计时,六十进制计数器用于计分和秒。只要给秒计数器一个1HZ的时钟脉冲,则可以进行正常计时。分计数器以秒计数器的进位作为计数脉冲。 用两个74160连成24进制的计数器,原图及生成的器件如下: 生成的二十四进制计数器注: 利用使能端,时钟信号,清零以及预置数功能连成24进制。

用两个74160连成的60进制计数器,原图及生成的器件如下: 生成的六十进制计数器 (2)校时模块 校时模块设计要求实现校时,校分以及清零功能。 *按下校时键,小时计数器迅速递增以调至所需要的小时位。 *按下校分键,分计数器迅速递增以调至所需要的分位。 *按下清零键,将秒计数器清零。 注意事项:①在校分时,分计数器的计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号以防止小时计数器计数。 ②利用D触发器进行按键抖动的消除,因为D触发器是边沿触发,在除去时钟边沿到来前一瞬间之外的绝大部分时间都不接受输入,

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

通信电子线路实验报告三点式振荡

通信电了线路课程设计 课程名称通信电子线路课程设计_________________ 专业___________________ 通信工程 ______________________ 班级___________________________________________ 学号___________________________________________ 姓名___________________________________________

指导教师________________________________________ 、八 刖 现代通信的主要任务就是迅速而准确的传输信息。随着通信技术的日益发展,组成通信系统的电子线路不断更新,其应用十分广泛。实现通信的方式和手段很多,通信电子线路主要利用电磁波传递信息的无线通信系统。 在本课程设计中,着眼于无线电通信的基础电路一一LC正弦振荡器的分析和研究。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。其中LC振荡器和晶体振荡器用于产生高频正弦波。正反馈放大器既可以由晶体管、场效应管等分立器件组成,也可由集成电路组成。LC振荡器中除了有互感耦合反馈型振荡器之外,其最基本的就是三端式(又称三点式)的振荡器。而三点式的振荡器中又有电容三点式振荡器和电感三点式振荡器这两种基本类型。 反馈振荡器是一种常用的正弦波振荡器,主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本文介绍了高频电感三点式振荡器电路的原理及设计,电感三点式易起振,调整频率方便,可以通过改变电容调整频率而不影响反馈系数。正弦波振荡器在各种电子设备中有着广泛的应用。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。 在此次的通信电子线路课程设计中,我选做的是电感三点式振荡设计,通过为时一周的上机实验,我学到了很多书本之外的知识,在老师的指导下达到实验设计的要求指

相关主题
文本预览
相关文档 最新文档