当前位置:文档之家› 计算机组成原理教学计划

计算机组成原理教学计划

计算机组成原理教学计划
计算机组成原理教学计划

《计算机组成原理》教学计划

《计算机组成原理》是电大计算机专业本科生必修的一门硬件专业基础课,其先修课包括数字逻辑、汇编语言程序设计等,后续课还有计算机系统结构、微型计算机系统与设计,关系密切一点的还有操作系统等课程。

该课程主要讲解简单、单台计算机的完整组成原理和内部运行机制,包括运算器部件、控制器部件、存储器子系统、输入/输出子系统(总线与接口等)与输入/输出系统设备,围绕各自的功能、组成、设计、实现、使用等知识进行介绍;此外,该课程的工程性、实践性、技术性比较强,还强调培养学生的动手动脑能力、开创与创新意识、实验技能,这些要求更多的是通过作业、教学实验等环节完成,要求学生有意识地主动加强这些方面的练习与锻炼。

教学进度安排如下:

3月19日上午

一计算机逻辑部件

二数字化编码、数制转换与数据表示检错纠错码

三数据的算术与逻辑运算

四运算器的功能、组成与设计教学计算机的运算器实例

五教学计算机的运算器实验说明浮点数表示、运算和浮点运算器介绍

4月16日上午

六计算机指令系统综述指令格式与寻址方式教学计算机的指令系统与汇编语程序设计

七控制器的功能、组成教学机的微程序方案的控制器设计

八教学机的硬布线方案的控制器设计

九教学机的硬布线方案的控制器设计

十多级结构的存储器系统综述主存储器的组成与设计

5月21日上午

十一CACHE 存储器的运行原理虚拟存储器的概念与实现

十二磁盘、磁带设备的组成与运行原理磁盘阵列与冗错技术

光盘机的组成与运行原理

十三计算机输入/输出设备综述显示器设备,针式打印机设备喷墨印字机,激光印字机设备

十四计算机输入/输出系统概述输入/输出接口的功能与组成计算机总线的功能与组成

十五常用的输入/输出方式中断与DMA的请求、响应和处理6月18日上午

对学生进行考前辅导并答疑

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

《计算机组成原理》练习题

《微机组成原理》练习题 第一章计算机系统概论 一、选择题 1、冯.诺依曼机工作方式的基本特点是() A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2、电子计算机的算术/逻辑单元、控制单元及主存储器合称为() A、CPU B、ALU C、主机 D、CU 3、完整的计算机系统应包括() A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机系统中的存储系统是指() A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 5、用以指定待执行指令所在地址的是() A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 6、微型计算机的发展以()技术为标志。 A.操作系统B.微处理器C.磁盘D.软件 7、存储单元是指() A.存放在一个字节的所有存储元集合B.存放一个存储字的所有存储元集合 C.存放一个二进制信息位的存储元集合D.存放一条指令的存储元集合 8、存储字长是指() A.存放在一个存储单元中的二进制代码组合B.存放在一个存储单元中的二进制代码位数C.存储单元的个数D.机器指令的位数 9、存放欲执行指令的寄存器是() A.MAR B.PC C.MDR D.IR 10、在CPU中跟踪指令后继地址的寄存器是() A.MAR B.PC C.MDR D.IR 二、填空题 1、()和()都存放在存储器中,()能自动识别它们。 2、存储器可分为主存和(),程序必须存于()内,CPU才能执行其中的指令。 3、存储器的容量可以用KB、MB、GB表示,它们分别代表()、()、()。 4、计算机硬件的主要技术指标包括()、()、()。 5、在用户编程所用的各种语言中,与计算机本身最为密切的语言是()。 6、汇编语言是一种面向()的语言,对()依赖性强,用汇编语言编制的程序执行速度比高级 语言()。 7、有些计算机将一部分软件永恒地存于只读存储器中,称为()。 8、基于()原理的()计算机工作方式的基本特点是按地址访问并顺序执行指令。 三、简答题 1、冯.诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统由哪些部件组成?

计算机组成原理常考计算题

1.机器数字长为8位(含1位符号位),当X= -127 (十进制)时,其对应的二进制表示, (X)原表示,(X)反表示,(X)补表示,(X)移表示分别是多少? 二进制表示为 -01111111 [X]原 = 11111111 [X]反 = 10000000 [X]补 = 10000001 [X]移 = 00000001 2.已知x=0.1011,y=-0.0101,求x+y=?,x-y=? [x]补=00.1011 [x]补=00.1011 +[y]补=11.1011 +[-y]补=00.0101 00.0110 01.0000 x+y=+0.0110 x-y产生溢出 3.用16k×8位的SRAM芯片构成64K×16位的存储器,要求画出该存储器的组成逻辑框图。 存储器容量为64K×16位,其地址线为16位(A15—A0),数据线也是16位(D15—D0)SRAM芯片容量为16K×8位,其地址线为14位,数据线为8位,因此组成存储器时须字位同时扩展。字扩展采用2 :4译码器,以16K为一个模块,共4个模块。位扩展采 4.提高存储器速度可采用哪些措施,请说出至少五种措施。 措施有:①采用高速器件,②采用cache (高速缓冲存储器),③采用多体交叉存储器, ④采用双端口存储器,⑤加长存储器的字长。 5.若机器字长36位,采用三地址格式访存指令,共完成54种操作,操作数可在1K地址 范围内寻找,画出该机器的指令格式。

操作码需用6位,操作数地址码需用10位。格式如下 OP:操作码6位 D1:第一操作数地址,10位 D2:第二操作数地址,10位 D3:第三操作数地址,10位 6.举例说明存储器堆栈的原理及入栈、出栈的过程。 所谓存储器堆栈,是把存储器的一部分用作堆栈区,用SP表示堆栈指示器,M SP表示堆栈指示器指定的存储器的单元,A表示通用寄存器。 入栈操作可描述为(A)→M SP,(SP-1)→SP 出栈操作可描述为(SP+1)→SP,(M SP)→A 7.试画出三总线系统的结构图。 8.若显示工作方式采用分辨率为1024×768,颜色深度为3B,桢频为72Hz,计算刷新存储 器带宽应是多少? 解:刷存所需带宽=分辨率×每个像素点颜色深度×刷新速率,故刷存带宽为: 1024×768×3B×72/s=165888KB/s=162MB/s. 1.求十进制数-113的原码表示,反码表示,补码表示和移码表示(用8位二进制表示, 并设最高位为符号位,真值为7位)。 原码 11110001 反码 10001110 补码 10001111 移码 00001111 2.某机指令格式如图所示: 15 10 9 8 7 0

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理计算题设计题

1.IEEE 754 format of X is (41360000)16, what is its decimal value? 将十六进制数展开,可得二进制数格式为: 0 100 0001 0 011 0110 0000 0000 0000 0000 指数e=阶码-127=10000010-01111111= 00000011 =(3)10 包括隐藏位1的尾数1.M = 1.011 0110 0000 0000 0000 0000 = 1.011011 于是有:X = (-1)s * 1.M * 2e = +(1.011011)2 * 23 = + (1011.011)2= (11.375)10 2.Let the carry bits of an adder are C4, C3, C2, C1. C0 is the carry from the low bit. Please give the logic expressions of C4, C3, C2, C1 in ripple carry mode and carry look ahead mode respectively. (1)串行进位 G1 = A1B1 , P1 = A1 ⊕ B1 G2 = A2B2 , P2 = A2 ⊕ B2 G3 = A3B3 , P3 = A3 ⊕ B3 G4 = A4B4 , P4 = A4 ⊕ B4 C1 = G1 + P1P0 C2 = G2 + P2C1 C3 = G3 + P3C2 C4 = G4 + P4C3 (2)并行进位 C1 = G1 + P1C0 C2 = G2 + P2G1 + P2P1C0 C3 = G3 + P3G2 + P3P2G1 + P3P2P1C0 G4 = G4 + P4G3 + P4G3G2 + P4P3P2G1 + P4P3P2P1C0 3.Suppose a computer with a clock frequency of 100 MHz as four types of instructions, and the frequency of usage and the CPI for each of them are given in table. Instruction operation Frequency of usage Cycles per instruction Arithmetic-logic 40% 2 Load/store 30% 4 compare 8% 2.5 branch 22% 3 (1)Find the MIPS of the computer and the T (CPU time) required to run a program of 107 instructions. (2) Combining comparing and branch instructions together so that compare instructions can be replaced and removed. Suppose each compare instruction was originally used with one branch instruction, and now each branch instruction is changed to a compare&branch instruction. Also suppose that the new proposal would decrease the clock frequency by 5%, because the new compare&branch instruction needs more time to execute. Find the new CPIave, MIPS, and T.

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

计算机组成原理复习题及参考答案(AB)

《计算机组成原理》课程复习资料 一、选择题: 1.定点运算器用来进行 [ ] A.十进制数加法运算 B.定点数运算 C.浮点数运算 D.即进行定点数运算也进行浮点数运算 2.某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为 [ ] A.64,16 B.16,64 C.64,8 D.16,16 3.目前的计算机中,代码形式是 [ ] A.指令以二进制形式存放,数据以十进制形式存放 B.指令以十进制形式存放,数据以二进制形式存放 C.指令和数据都以二进制形式存放 D.指令和数据都以十进制形式存放 4.采用DMA方式传送数据时,每传送一个数据就要用一个 [ ] A.指令周期 B.数据周期 C.存储周期 D.总线周期 5.冯·诺依曼机工作方式的基本特点是 [ ] A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址 6.某机字长32位。其中1位符号位,31位表示尾数。若用定点整数表示,则最大正整数为 [ ] A.+(231-1) B.+(230-1) C.+(231+1) D.+(230+1) 7.下列数中最大的数是 [ ] A.(100110001)2 B.(227)8 C.(98)16 D.(152)10 8.哪种表示法主要用于表示浮点数中的阶码? [ ] A.原码 B.补码 C.反码 D.移码 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的时间较长,因此机器周期通常用下列哪个 来规定 [ ] A.主存中读取一个指令字的最短时间 B.主存中读取一个数据字的最长时间 C.主存中写入一个数据字的平均时间 D.主存中取一个数据字的平均时间 10.下面叙述的概念中哪个是正确的 [ ] A.总线一定要和接口相连 B.接口一定要和总线相连 C.通道可以代替接口 D.总线始终由CPU控制和管理 11.在定点二进制运算器中,减法运算一般通过下列哪个来实现 [ ] A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器 12.下列有关运算器的描述中哪个是正确的 [ ] A.只作算术运算,不作逻辑运算 B.只作加法 C.能暂时存放运算结果 D.以上答案都不对 13.某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线数目为 [ ] A.8,512 B.512,8 C.18,8 D.19,8 14.完整的计算机系统应包括 [ ] A.运算器存储器控制器 B.外部设备和主机 C.主机和应用程序 D.配套的硬件设备和软件系统 15.没有外存储器的计算机初始引导程序可以放在 [ ] A.RAM B.ROM C.RAM和ROM D.CPU 二、名词解释: 1.CPU周期 2.存取时间 3.存储设备数据传输率

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

《计算机组成原理》课程标准

《计算机组成原理》课程标准 一、课程基本情况 课程名称:计算机组成原理 适用专业:计算机应用专业 课程性质:专业核心课程 计划学时:60学时 二、制定课程标准的依据 本课程教学标准依据中职计算机应用专业的专业教学标准中的人才培养目标和培养规格以及对 计算机组成原理课程教学目标要求而制定,用于指导计算网组成原理课程教学和课程建设。 三、课程性质 本课程是计算机应用专业的一门专业核心课程。本课程通过介绍计算机硬件基本结构、工作原理和分析设计方法等方面的知识,培养学生对计算机的整机概念有较完整清晰的认识,对计算机的硬件结构有深刻的理解和对硬件的分析与设计方法有一定的认识。同时也为学习后续课程打下一定的基础。 四、本课程与前续课程和后续课程的关系 本课程学习和训练之前,学生应已修完如下课程:计算机应用基础、数字电路,而他的后续课 程是计算机系统结构、计算机组成原理。本课程在他的前续课程和后续课程之间起到了纽带的作用。 五、课程的教育目标 1.知识、能力目标 (1)知道《计算机组成原理》这门学科的性质、地位和独立价值; (2)理解计算机系统的运算器、控制器、存储器、输入设备和输出设备五大组成部件的概念和功能,及以整机的工作原理; (3)理解数值数据的表示方法以及运算器的计算方法,了解非数值数据的表示方法和常用编码; (4)理解运算器、控制器、存储器、以及有关的输入设备和输出设备等各个部件的组成结构和基本功能; (5)掌握基本的定点数的加、减运算和实现的基本逻辑电路框图以及浮点数的表示方法; (6)掌握指令的概念和功能以及指令的各种寻址方式和指令类型; (7)知道存储器层次结构和主存系统的设计方法; (8)掌握CPU的功能及组成; (9)理解几种常见的外围设备的信息交换方式; (10)了解常用的外围设备和使用方法; (11)理解组合逻辑控制器和微程序控制器的基本的设计和分析方法。 2.方法、过程目标 (1)通过本课程的学习,培养学生通过计算机组成原理实验,进一步理解计算机内部的工作原

计算机组成原理实验

实验3 MIPS指令系统和MIPS体系结构 一.实验目的 (1)了解和熟悉指令级模拟器 (2)熟悉掌握MIPSsim模拟器的操作和使用方法 (3)熟悉MIPS指令系统及其特点,加深对MIPS指令操作语义的理解(4)熟悉MIPS体系结构 二. 实验内容和步骤 首先要阅读MIPSsim模拟器的使用方法,然后了解MIPSsim的指令系统。(1)、启动MIPSsim (2)、选择“配置”->“流水方式”选项,使模拟器工作在非流水方式。

(3)、参照使用说明,熟悉MIPSsim模拟器的操作和使用方法。 (4)、选择“文件”->“载入程序”选项,加载样例程序 alltest.asm,然后查看“代码”窗口,查看程序所在的位置。 (5)、查看“寄存器”窗口PC寄存器的值:[PC]= 0x00000000 。

(6)、执行load和store指令,步骤如下: 1)单步执行一条指令(F7)。 2)下一条指令地址为 0x00000004 ,是一条有(有,无)符号载入字节 (字节,半字,字)指令。 3)单步执行一条指令(F7)。 4)查看R1的值,[R1]=-128。

5)下一条指令地址为 0x00000008 ,是一条(有,无)符号载入字(字节,半字,字)指令。 6)单步执行1条指令。 7)查看R1的值,[R1]=128。 8)下一条指令地址为 0x0000000C ,是一条无(有,无)符号载入字(字节,半字,字)指令。 9)单步执行1条指令。

10)查看R1的值,[R1]=128。 11)单步执行1条指令。 12)下一条指令地址为 0x00000014 ,是一条保存字(字节,半字,字)指令。 13)单步执行一条指令。

计算机组成原理全部实验.

计算机科学技术系王玉芬2012年11月3日

基础实验部分该篇章共有五个基础实验组成,分别是: 实验一运算器实验 实验二存储器实验 实验三数据通路组成与故障分析实验 实验四微程序控制器实验 实验五模型机CPU组成与指令周期实验

实验一运算器实验 运算器又称作算术逻辑运算单元(ALU),是计算机的五大基本组成部件之一,主要用来完成算术运算和逻辑运算。 运算器的核心部件是加法器,加减乘除运算等都是通过加法器进行的,因此,加快运算器的速度实质上是要加快加法器的速度。机器字长n位,意味着能完成两个n位数的各种运算。就应该由n个全加器构成n位并行加法器来实现。通过本实验可以让学生对运算器有一个比较深刻的了解。 一、实验目的 1.掌握简单运算器的数据传输方式。 2.掌握算术逻辑运算部件的工作原理。 3. 熟悉简单运算器的数据传送通路。 4. 给定数据,完成各种算术运算和逻辑运算。 二、实验内容: 完成不带进位及带进位的算术运算、逻辑运算实验。 总结出不带进位及带进位运算的特点。 三、实验原理: 1.实验电路图

图4-1 运算器实验电路图

2.实验数据流图 图4-2 运算器实验数据流图 3.实验原理 运算器实验是在ALU UNIT 单元进行;单板方式下,控制信号,数据,时序信号由实验仪的逻辑开关电路和时序发生器提供,SW7-SW0八个逻辑开关用于产生数据,并发送到总线上;系统方式下,其控制信号由系统机实验平台可视化软件通过管理CPU 来进行控制,SW7-SW0八个逻辑开关由可视化实验平台提供数据信号。 (1)DR1,DR2:运算暂存器, (2)LDDR1:控制把总线上的数据打入运算暂存器DR1,高电平有效。 (3)LDDR2:控制把总线上的数据打入运算暂存器DR2,高电平有效。 (4)S3,S2,S1,S0:确定执行哪一种算术运算或逻辑运算(运算功能表见附录1或者课本第49页)。 (5)M :M =0执行算术操作;M =1执行逻辑操作。 (6)/CN :/CN =0表示ALU 运算时最低位加进位1;/CN =1则表示无进位。 (7)ALU -BUS :控制运算器的运算结果是否送到总线BUS ,低电平有效。 (8)SW -BUS :控制8位数据开关SW7-SW0的开关量是否送到总线,低电平有效。 四、实验步骤: 实验前首先确定实验方式(是手动方式还是系统方式),如果在做手动方式实验则将方式选择开关置手动方式位置(31个开关状态置成单板方式)。实验箱已标明手动方式和系统方式标志。所有的实验均由手动方式来实现。如果用系统方式,则必须将系统软件安装到系统机上。将方式标志置系统模式位置。学生所做的实验均在系统机上完成。其中包括高 ALU DR1 DR2 LDDR1 T4 LDDR2 T4 S1 S2 M0 S0 CN S3

相关主题
文本预览
相关文档 最新文档