当前位置:文档之家› EDA电子设计自动化知识点总结

EDA电子设计自动化知识点总结

EDA电子设计自动化知识点总结
EDA电子设计自动化知识点总结

1、实体界面说明中端口的模式有四种端口模式为:1、IN相当于只可输入的引脚;

2、OUT相当于只可输出的引脚;

3、BUFFER相当于带输出缓冲器并可以回读的引脚;

4、INOUT相当于双向引脚;

2、嵌入式阵列块EAB能实现存储功能,每个EAB提供2048比特,可完成ROM,RAM,双口RAM或者FIFO功能。

3、VHDL程序设计中的两大基本描述语句是顺序语句,并行语句。

4、FLEX10K的结构提供了两条专用高速通道,即进位链和级联链。

5、常用的源程序输入方式有原理图输入方式、状态图输入方式、VHDL软件程序的文本方式。

6、FPGA的可编程互连线分为通用互连、直接互连、长线。

7、FPGA(现场可编程门阵列)结构可分为三部分:可编程逻辑单元、可编程输入/输出单元、可编程连线。

8、CPLD(复杂可编程逻辑器件)的结构可分为三部分:可编程逻辑宏单元、可编程输入/输出单元、可编程内部连线。

9、结构体的三种描述方式:行为级描述、数据流级描述、结构级描述。

10、EDA设计几个描述层次:行为级描述、寄存器传输级描述(RTL)、门级描述、版图级描述。

11、构成一个完整的VHDL语言程序的五大基本结构:实体(ENTITY)、结构体(ARCHITECURE)、配置(CONFIGURATION)、库(LIBRARY)、程序包(PACKAGE)。

12、VHDL的子程序有过程和函数两种类型,具有可重载性。

13、数字ASIC设计方法有两种:全定制法、半定制法(门阵列法、标准单元法、可编程逻辑器件法)。

14、数字系统的模型:数据处理子系统和控制子系统。

15、数字系统设计方法:模块设计法、自顶向下设计法、自底向上设计法。

16、EDA的工程设计流程:原理图/HDL文本输入→功能仿真→综合→适配→时序→仿真→编辑下载→硬件测试。

17、FPGA的配置流程:芯片初始化、芯片配置和起动。

18、转向控制语句共有五种:IF 语句、CASE 语句、LOOP 语句、NEXT 语句和EXIT 语句。

19、并行信号赋值语句有三种形式:简单信号赋值语句、条件信号赋值语句和选择信号赋值语句。

20、条件信号赋值语句与什么语句等效:条件信号赋值语句与进程中的多选择IF语句等价。

21、目前世界上有几十家CPLD/FPGA的公司,最大的三家是:xilinx Alera Lattic

22、CPLD中的I/O控制模块基本主要由输出极性转换电路、触发器、输出三态缓冲器三部分和它们相关的选择电路组成

23、VHDL语言的数据对象有:常量、变量、信号

24、设计过程的仿真有:行为仿真、功能仿真、时序仿真

25、IspLSI/pLSI逻辑器件的结构主要包括:通用逻辑块、巨块结构、全局时钟结构、I/O单元(通用逻辑块、全局布线区、输出布线区、加密单元)

26、VHDL的数据类型:标量型,复合类型,存取类型,文件类型

27、进程语句结构的三个组成部分:进程说明部分,顺序描述语句部分,敏感信号参数表

28利用EDA技术进行电子系统的设计,具有以下几个重要的特点(1)用软件方式设计硬件。(2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的。(3)设计过程中可用有关软件进行各种仿真。(4)系统现场可编程,在线升级。(5)整个系统可集成在一个芯片上,具有体积小、功耗低、可靠性高等特点。(6)从以前的“组合设计”转向真正的“自由设计”。(7)设计的移植芯性好,效率高。(8)非常适合分工设计,团体协作。因此,EDA技术是现代电子设计的发展趋势。

29、什么叫FPGA的配置模式?FPGA器件有哪几种配置模式?答:FPGA的配置模式是指FPGA用来完成设计时的逻辑配置和外部连接方式。逻辑配置是指经过用户设计输入并经过开发系统编译后产生的配置数据文件,将其装入FPGA芯片内部的可配置存储器的过程,简称FPGA的下载。只有经过逻辑配置后,FPGA才能实现用户需要的逻辑功能。FPGA器件有哪几种配置模式:(1)主动串行配置模式,(2)主动并行配置模式,(3)外设配置模式,(4)从动串行配置模式,(5)菊花链配置模式。

30、FLEX 10K系列器件的主要组成部分是什么?答:每个FLEX10K器件包含一个实现存储和专用逻辑功能的嵌入阵列、一个实现一般逻辑的逻辑阵列、快速互连通道和输入/输出(I/O)模块。嵌入阵列和逻辑阵列的结合提供了嵌入式门阵列的高性能和高密度,可以使设计者在某个器件上实现一个完整的系统。

31、FLEX 10K系列器件中的EAB的特点及作用是什么?答:嵌入阵列块(EAB)实现存储功能时,每个EAB 提供2048比特,可以用来完成RAM、ROM、双口RAM 或者FIFO功能。实现逻辑功能时,每个EAB可以提供100~600门以实现复杂的逻辑功能,如实现乘法器、微控制器、状态机和DSP(数字信号处理)功能。EAB 可以单独使用或多个EAB联合使用以实现更强的功能。

32、EDA的涵义:狭义的EDA技术是指以大规模可编程逻辑器件为载体,以硬件描述语言HDL为系统逻辑的主要表达方式,借助功能强大的计算机,在EDA工具软件平台上,对用HDL描述完成的设计文件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑简化、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片ASIC的一门新技术。

33、常用的硬件描述语言有哪几种?这些硬件描述语言在逻辑描述方面有什么区别?答:常用的硬件描述语言有VHDL、Verilog、ABEL。

VHDL:作为IEEE的工业标准硬件描述语言,在电子工程领域,已成为事实上的通用硬件描述语言;逻辑综合能力强,适合行为描述。

Verilog:支持的EDA工具较多,适用于RTL级和门电路级的描述,其综合过程较VHDL稍简单,但其在高级描述方面不如VHDL。

ABEL:一种支持各种不同输入方式的HDL,被广泛用于各种可编程逻辑器件的逻辑功能设计,由于其语言描述的独立性,因而适用于各种不同规模的可编程器件的设计。

34、可编程器件的编程元件有哪些?有下列五种编程元件:1、熔丝型开关(一次可编程,要求大电流);2、可编程低阻电路元件(多次可编程,要求中电压);

3、EPROM的编程元件(需要有石英窗口,紫外线擦除)

4、EPROM的编程元件;5基于SRAM的编程元件;

35、EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;

②硬件描述语言;③软件开发工具;④实验开发系统。

36、直译的部分:FPGA直译为:现场可编程门阵列;CPLD直译为:复杂可编程逻辑器件;CDA直译为:计算机辅助设计;(Computer Assist Design);CAE直译为:计算机辅助工程设计(Computer Assist Engineering);EDA直译为:电子设计自动化(Electronic Design Automation);ISP直译为:在系统可编程特性(In System Programmability);

37、信号与变量的区别有哪些?信号可以用来描述哪些硬件特性?答:变量赋值与信号赋值的区别在于,变量具有局部特征,它的有效只局限于所定义的一个进程中,或一个子程序中,它是一个局部的、暂时性数据对象(在某些情况下)。对于它的赋值是立即发生的(假设进程已启动),即是一种时间延迟为零的赋值行为。信号则不同,信号具有全局性特征,它不但可以作为一个设计实体内部各单元之间数据传送的载体,而且可通过信号与其他的实体进行通信(端口本质上也是一种信号)。信号的赋值并不是立即发生的,它发生在一个进程结束时。赋值过程总是有某种延时的,它反映了硬件系统并不是立即发生的,它发生在一个进程结束时。赋值过程总是有某些延时的,它反映了硬件系统的重要特性,综合后可以找到与信号对应的硬件结构,如一根传输导线、一个输入/输出端口或一个D触发器等。

38、Case语句有什么特点?其分支条件使用时有哪些注意事项?答:CASE语句根据满足的条件直接选择多项顺序语句中的一项执行。用来描述总线或编码、译码行为。可读性比IF语句强。使用CASE语句需注意以下几点:1) 条件句中的选择值必须在表达式的取值范围内。2) 除非所有条件句中的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句中的选择必须用“OTHERS”表示。它代表已给的所有条件句中未能列出的其他可能的取值,这样可以避免综合器插入不必要的寄存器。这一点对于定义为STD_LOGIC和STD_LOGIC_VECTOR数据类型的值尤为重要,因为这些数据对象的取值除了1和0以外,还可能有其他的取值,如高阻态Z、不定态X 等。3) CASE语句中每一条件句的选择只能出现一次,不能有相同选择值的条件语句出现。4) CASE语句执行中必须选中,且只能选中所列条件语句中的一条,这表明CASE语句中至少要包含一个条件语句。

39、Loop语句的类型有哪些?其循环变量有什么特点?答:LOOP语句就是循环语句,它可以使所包含的一组顺序语句被循环执行,其执行次数可由设定的循环参数决定,循环的方式由 NEXT和EXIT语句来控制。特点:①循环变量是LOOP 内部自动声明的局部量,仅在LOOP内可见。②离散范围必须时刻计算的整数范围:整数表达式 TO整数表达式整数表达式 DOWNTO 整数表达式

40、Next语句与exit语句的区别是什么?答:区别是NEXT语句是跳向LOOP 语句的起始点,而EXIT语句则是跳向LOOP语句的终点。

41、Wait语句有哪些类型?wait语句在进程中的作用是什么?与敏感信号表有什么关?答:(1)单独的WAIT,未设置停止挂起条件的表达式,表示永远挂起。(2)WAIT ON 信号表,称为敏感信号等待语句,当敏感信号变化时,结束挂起。在信号表中列出的信号是等待语句的敏感信号。当处于等待状态时,敏感信号的任何变化(如从0~1或从1~0的变化)将结束挂起,再次启动进程。(3)WAIT UNTIL 条件表达式,即条件等待语句,当条件表达式所含的变量发生了变化,并且条件表达式为真时,进程才能脱离挂起状态,继续执行此语句后面的语句。(4)WAIT [FOR 时间表达式];直到指定的时间到时,挂起才结束。(5)多条件WAIT 语句,即上述条件中有多个条件同时出现,此时只要多个条件中有一个成立,则终止挂起。

42.进程语句的特点是什么?答:进程(PROCESS)语句是最具VHDL语言特色的语句。因为它提供了一种用算法(顺序语句)描述硬件行为的方法。进程实际上是用顺序语句描述的一种进行过程,也就是说进程用于描述顺序事件。主要特点有:进程与进程或其它并发语句之间的并发性;进程内部的顺序性;进程的启动于挂起(由敏感信号的变化来启动),并不是任何时候都处于启动状态。:进程与进程或其它并发语句之间的通信。

43、PLD的分类:从结构的复杂程度上一般可将PLD分为简单PLD和复杂PLD;从互联结构上可将PLD分为确定型和统计型;从可编程特性上可将PLD分为一次可编程和重复可编程;从可编程元件上分类;有五种编程元件为:1、熔丝型开关(一次可编程,要求大电流);2、可编程低阻电路元件(多次可编程,要求中电压);3、EPROM的编程元件(需要有石英窗口,紫外线擦除)4、EPROM的编程元件;5基于SRAM的编程元件;

44、结构体和实体之间的关系是什么?

结构体将具体实现一个实体;每个实体可以有多个结构体;同一结构体不能为不同的实体所拥有;结构体不能单独存在必须有一个实体;每个结构体的地位是同等的;

45、EDA技术的优点:⑴采用自顶向下的设计方法;⑵采用系统早期仿真;⑶多种设计描述方式;⑷高度集成化的EDA开发系统;⑸PLD在系统编程功能;(6)可实现单片系统集成;(7)提高产品的可靠性;(8)提高产品的保密程度和竞争能力;(9)降低电子产品的功耗,(10)提高电子产品的工作速度。

46、端口描述中的数据类型有两类:1、位(BIT)和位矢量(BIT_VECTOR);2、数据类型定义为BIT_VECTOR则其信号值是一组二进制表。

47、CPLD改进的结构形式:1、乘积项数目不同的逻辑阵列单元;2、具有两个或项输出的逻辑阵列单元;3、功能更多、结构更复杂的逻辑阵列单元;

48、CPLD与FPGA的主要区别:1、内部结构上的不同;2、集成度的不同;(CPLD 是500~5000门 FPGA是1K~10M门)3、应用范围不同(CPLD逻辑能力强而寄存器少,适用于控制密集型系统);4、使用方法不同;

49、EDA技术的发展历史:EDA技术的发展,大致经历了三个发展阶段:1.计算机辅助设计CAD; 2.计算机辅助工程设计CAE;3.电子设计自动化EDA。

50、EDA软件系统包括:设计输入子模块,设计数据库子模块,分析验证子模块,综合仿真子模块,布局布线子模块。

51、EDA工具的发展趋势:①设计输入工具的发展趋势②具有混合信号处理能力的EDA工具③更为有效的仿真工具的发展④更为理想的设计综合工具的发展。EDA的工程设计流程:①源程序的编辑和编译②逻辑综合和优化③目标器件的布线/适配④目标器件的编程/下载⑤设计过程中的有关仿真⑥硬件仿真/硬件测试52、设计一个数字系统时,采用该模型的优点是:①把数字系统划分为数据处理子系统和控制子系统两个主要部分,使设计者面对的电路规模减小,二者可以分别设计。②数字系统中控制子系统的逻辑关系比较复杂,将其独立划分出来后,可突出设计重点和分散设计难点。③当数字系统划分为控制子系统和数据处理子系统后,逻辑分工清楚,各自的任务明确,这可以使电路的设计,调测和故障处理都比较方便。

53、数字系统设计㈠方法:模块设计法,自顶向下设计法,自底向上设计法。㈡准则:①分割准则②系统的可观测性③同步和异步电路④最优化设计⑤系统设计的艺术。㈢步骤:①系统任务的分析②确定逻辑算法③建立系统及子系统模型④

系统逻辑描述⑤逻辑电路级设计及系统仿真⑥系统的物理实现。

54、VHDL的优点:1,与其他硬件描述语言相比,VHDL具有更强的行为描述能力。2,VHDL语言具有丰富的方真语句和库函数。3,VHDL VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。4,用VHDL完成一个确定的设计,可以用EDA工具进行逻辑综合和优化,并自动把VHDL描述设计转述成门级网表。5,VHDL对设计的描述具有相对独立性。6,VHDL 具有类属描述语句和子程序调用等功能。

55、实体和结构体的功能关系:实体是一个设计实体的表层设计单元,其功能是对这个设计实体与外部电路进行接口描述。结构体用来描述设计实体的内部结构和实体口之间的逻辑关系,在电路上相当于器件的内部电路结构。

结构体的组成:信号声明部分和功能描述语句部分。

实体的组成:声明部分和结构体

56、信号和变量的区别:从行为仿真和VHDL语句功能上来看,信号和变量的区别表现在接受和保持信息的方式,信息保持与传递的区域大小上。例如:信号可以设置延时量,而变量不能;变量只能作为局部的信息载体,而信号可以作为模块的信息载体;变量的设置有时是一种过渡,最后的信息传输和界面间的通信都靠信号来完成。以综合后所对应的硬件电路结构来看,信号一般将对应更多的硬件结构。但在多数情况下信号和变量并没有本质的区别

57、VHDL文字主要包括数值和标识符

标识符用来定义变量,常量,信号,端口,子程序或参数的名字。由英文字母开头,不以下划线结尾,中间可以有数字,不连续使用下划线

结构体:是用于描述设计实体的内部结构以及实体端口间的逻辑关系。

逻辑适配:是将由综合器产生的网表文件针对某一具体的目标器进行逻辑映射操作,其中包括底层器件配置,逻辑分割、逻辑优化、布线与操作等,配置于指定的目标操作中产生最终的下载文件如:JEPEC格式的文件。

逻辑综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形的描述,针对给定硬件结构组件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。由此可见,综合器工作前,必须给定最后实现的硬件结构参数,它的功能就是将软件描述与给定硬件结构用某种网表文件的方式联系起来。显然,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换成低级的,可与FPGA/CPLD或构成ASIC 的门阵列基本结构相映射的网表文件。

逻辑适配:适配器的功能是将由综合器产生的网表文件配置于指定的目标器件中,产生最终的下载文件,如JEDEC格式的文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。

行为仿真:在综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL 设计源程序直接送到VHDL仿真器中仿真,这就是所谓的VHDL行为仿真。因为此时的仿真只是根据VHDL的语义进行的,与具体电路没有关系。

功能仿真是仅对VHDL描述的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求,仿真过程不涉及具体器件的硬件特性,如延时特性。

时序仿真:时序仿真是接近真实器件运行的仿真,仿真过程中已将器件特性考虑进去了,因而,仿真精度要高得多。但时序仿真的仿真文件必须来自针对具体器件的布线/适配器所产生的仿真文件。综合后所得的EDIF/XNF门级网表文件通常作为FPGA布线器或CPLD适配器的输入文件。通过布线/适配的处理后,布线/

适配器将生成一个VHDL网表文件,这个网表文件中包含了较为精确的延时信息,网表文件中描述的电路结构与布线/适配后的结果是一致的。此时,将这个VHDL 网表文件送到VHDL仿真器中进行仿真,就可以得到精确的时序仿真结果了。

数据系统指的是交互式的、以离散形式表达的,具有存储、传输、信息处理能力的逻辑子系统的集合。包括数据处理子系统和控制子系统。①数据处理子系统:由存储器,运算器,数据选择器组成。完成数据的采集、存储、运算和传输。②控制子系统:是执行数字系系统算法的核心,具有记忆功能,因此它是时序系统。由组合逻辑电路和触发器组成。

块语句是一种将结构体中的并行描述语句进行组合的方法

可编程逻辑器件PLD:是一种由用户编程以实现某种逻辑功能的转型逻辑器件。逻辑综合:是将电路的高级语言描述转化成低级的、可与FPGA/CPLD或构成ASIC 的门阵列基本结构相映射的网表文件。

在系统可编程(ISP):在用户自己设计的目标系统中或线路板上,为重新构造设计逻辑而对器件进行编程或反复编程的能力。

数字电子技术知识点

《数字电子技术》知识点 第1章数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= 2= ( 16= 8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变 1, 1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数:E D C B A Y += 解:反函数:))((E D C B A Y +++= 对偶函数:))((E D C B A Y D ++ += 7.逻辑函数化简 (1)最小项的定义及应用; (2)二、三、四变量的卡诺图。 要求:熟练掌握逻辑函数的两种化简方法。 ①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4:用公式化简逻辑函数:C B BC A ABC Y ++=1 解:B C B BC C B BC A A C B BC A ABC Y =+=++=++=)(1 举例5:用公式法化简逻辑函数为最简与或式:BC B C A B C A F +++?= 解:BC B B C A BC B C A B C A BC B C A B C A F ++=++=+++=)( C A BC C A BC C A +=++=+= 举例6:用公式法化简逻辑函数为最简与或式:)(A B A ABC B A F +++= 解:)(A B A ABC B A F +++= )()(A B A ABC B A +?+= =)()(A B A ABC B A ++?+=)()(B A A ABC B A +?+ =A ABC B A ?+)(=0 ②图形化简:逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。(主要适合于3个或4个变量的化简) 举例7:用卡诺图化简逻辑函数:)6,4()7,3,2,0(),,(d m C B A Y ∑+∑= 解:画出卡诺图为 则B C Y += 举例8:已知逻辑函数C B A C B A B A Z ++=,约束条件为0=BC 。用卡诺图化简。

电气自动化专业必须要学习的知识

大学期间,主要学习如下课程 主要理论课程(1)《电路分析》基本内容包括电路的基本定律、分析方法、交流电路,85学时,4学分。(2)《电子技术》基本内容包括数字电路、模拟电路、电力电子等,80学时,4学分。(3)《电机与电力拖动》基本内容包括直流电机、变压器、交流电机、特种电机等,60学时,3学分。(4)《电气测量》基本内容包括电压、电流、功率、电能、电阻等的测量,示波器的使用等,60学时,3学分。(5)《微机原理与接口技术》基本内容包括单片机原理与使用、汇编语言程序设计、接口技术等,60学时,3学分。(6)《建筑电气自动控制》基本内容包括继电接触器控制系统的典型控制环节、可编程序控制器、给排水系统的控制、空调与制冷系统、锅炉控制、建筑机械的控制等,60学时,3学分。(7)《建筑供电与照明》基本内容包括供电系统的构成、负荷和短路计算、防雷、接地、照明的基本知识,建筑供电、照明系统的设计等,75学时,4学分。(8)《电气设备安装工艺》基本内容包括室内配线工程、照明装置安装、架空线路安装、电缆线路安装、母线安装、变配电设备安装、防雷与接地装置安装等,75学时,4学分。(9)《建筑弱电技术》基本内容包括有线电视、闭路监控、电话、公共广播、保安系统、综合布线、弱电系统电源与接地等,60学时,3学分。(10)《自动消防》基本内容包括火灾自动报警系统、联动装置、自动灭火系统等,60学时,3学分。(11)《电气工程施工组织与管理》基本内容包括流水作业、网络计划法、施工方案、施工组织、施工成本控制、进度控制、质量控制、职业健康安全与环境管理、建设工程合同与信息管理等,60学时,3学分。2)主要实践教学环节(1)机械基础实训1周(2)房屋构造参观实习1周(3)工程测量实习1周(4)电子技术操作实习1周(5)电气安装工程预算实训1周(6)建筑供电与照明课程设计2周(7)电气控制系统安装实训2周(8)自动消防课程设计1周(9)建筑弱电系统课程设计1周(10)电工实训2周(11)电气安装系统实训2周(12)电气工程施工组织课程设计1周(13)建筑电气专业毕业设计9周(14)建筑电气专业生产实习2周(15)建筑电气专业毕业实习8周(16)建筑电气专业毕业答辩1周9专业特色本专业为院级教改试点专业。

电子设计自动化在电子设计中的应用

电子设计自动化在电子设计中的应用 发表时间:2017-01-09T14:50:10.380Z 来源:《基层建设》2016年27期作者:黄本锐 [导读] 利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 广西地凯科技有限公司广西南宁 530003 摘要:在电子设计自动化(EDA)工程中,用硬件描述语言表达设计思想,用计算机进行模拟、仿真,可测试设计把测试器件设计到芯片系统内部,实现了内建自测试功能。利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 关键词:电子设计自动化;电子设计;应用 前言 以往电子产品的设计方法为:设计项目经过工程估算,实验板搭试、调整,印刷电路板设计与制作,装配与调试,用逻辑分析仪、示波器等电子仪器进行性能测试,若测试不符合设计要求则从头开始,进行新一轮的设计开发过程,直到达到设计要求。这种循环有时需要3-4次,整个过程全由人工完成,使得新产品的开发周期很长。EDA工程的出现,现代电子设计方法的应用,加快了产品开发速度。可以说现代电子设计技术的核心就是EDA工程,它的出现促进了电路模拟技术的发展。 1、EDA技术概述 1.1 EDA技术特征 概括来说,EDA的主要特征是:硬件采用工作站和高档微机;软件采用EDA 212具,功能包括:原理图输入、硬件描述语言输入、波型输入、仿真设计、可测试设计、逻辑综合、形式验证、时序分析等各个方面。设计方法采用自顶向下的方法,设计工作从高层开始,使用标准化硬件描述语言(VHDL)描述电路行为,自顶向下跨过各个层次,完成整个电子系统设计。 此外EDA还提供了良好的逻辑综合与优化功能,它能够将设计人员设计的逻辑级电路图自动地转换为门级电路,并生成相应的网表文件、时序分析文件和各种报表,若设计没有错误,最终生成可以编程下载的文件。 1.2 EDA的技术优势 一是用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期[1]。 二是EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机,就能对所设计的电子系统从各个不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后.还能对系统上的目标器件进行所谓边界扫描测试。 三是开发技术标准化、规范化,具有良好的可移植与可测试性。EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变。 2、EDA在电子设计中的设计思路 2.1电路设计 设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等。其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。 仿真通过后,根据原理图产生的电路连接网络表进行印刷电路板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图;进行第三次仿真,称之为后仿真。其作用是检验PCB在实际工作环境中的可行性。 2.2系统级设计 基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量、成本、开发周期等因素。第一步从系统方案设计入手,在项层进行系统功能划分和结构设计;第二步用VHDL、Vcrilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级电路网络表,这是将高层次描述转化为硬件电路的关键:第五步将利用产品的网络表进行适配前的时序仿真;最后是系统的物理实现级,它可以是CPLD、FPGA或ASIC[2]。 3、EDA在电子设计中的应用 3.1源程序的编辑和编译 利用EDA技术进行一项电子工程设计,首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式或图形方式表达出来,进行排错编译,变成VHDI。文件格式,为进一步的逻辑综合做准备。常用的源程序输入方式有三种:一是原理图输入方式:利用EDA工具提供的图形编辑器以原理图的方式进行输入,原理图输入方式比较容易掌握,直观且方便。 二是状态图输入方式:以图形的方式表示状态图进行输入。当填好时钟信号名、状态转换条件、状态机类型等要素后,就可以自动生成VHDL程序。这种设计方式简化了状态机的设计,比较流行。 三是VHDL软件程序的文本方式:最一般化、最具普遍性的输入方法,任何支持VHDL的EDA工具都支持文本方式的编辑和编译。 3.2逻辑综合和优化 所谓逻辑综合,就是将电路的高级语言描述(如HDL原理图或状态图形的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件。逻辑映射的过程,就是将电路的高级描述,针对给定硬件结构组件,进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。而网表文件就是按照某种规定描述电路的基本组成及如何相互连接的关系的文件。 3.3目标器件的布线/适配 适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。对于一般的可编程模拟器件所对应的EDA软件来说,一般仅需包含一个适配器就可以了,如Lattice的PAC—DESIGNER。通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商自己提供,因为适配器的适配对象直接与器件结构相对应[3]。

数字电子技术知识点

数字电子技术知识点 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

《数字电子技术》知识点 第1章数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= 2= ( 16= 8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变 1, 1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。

EDA技术课程设计报告

课程设计任务书设计题目1:数码管显示数字钟设计

院(系)分管领导:教研室主任:指导教师: 2017年6月23日

目录 第1章引言 0 第2章电路原理 0 第3章程序设计 (1) 顶层模块设计 (2) 时钟分频模块设计 (2) 按键驱动模块设计 (2) 时钟计数模块设计 (3) 整点报时模块 (4) LED灯花样显示模块 (5) 数码管显示模块设计 (5) 第4章调试、测试分析及结果 (7)

调试 (7) 测试分析 (7) 结果 (9) 第5章小结 (10) 参考文献 (11) 附录电路图及程序 (11)

第1章引言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL 或者Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 此次课程设计我们运用QuartusⅡ这个软件,使用Verilog HDL语言进行编程。 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。 本次课程设计要求利用EDA技术,设计一个数码管显示数字钟的样品,数字钟要求具备以下功能: 1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 第2章电路原理 数码管显示数字钟设计,运用到8位数码管,要求其中6位数码管动态显示,分别显示时、分、秒的计数,同时对时间进行设置,数字钟的总体功能按照要求可以分为基本的数字时钟显示(具有时、分、秒,计数显示功能,以24小时循环计时)、手动校准(具有清零,调节小时、分钟功能)、整点报时、LED灯花样显示

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

机电一体化系统设计重点考点整理

机电一体化系统的定义:在继续的主功能、动力功能、信息功能和控制功能上引进微电子技术,并将机械装置与电子技术用相关软件有机结合而构成的系统的总称。机电一体化是机械技术、电子技术及信息技术相互交叉、融合(有机结合)的产物。 机电一体化含有技术和产品两方面的内容:首先是机电一体化技术,主要包括其技术原理,即使机电一体化系统(产品)得以实现,使用和发展的技术;其次是机电一体化产品,该产品主要是机械系统(或部件)与电子系统(或部件)用相关软件有机结合而构成的新系统,且赋予其新的功能和性能的新一代产品。 机电一体化的关键技术:检测传感技术、信息技术、自动控制技术、伺服驱动技术、精密机械技术、系统整体技术。 机电一体化的五个构成要素:机械系统(机构)、信息处理系统(计算机)、动力系统(动力源)、传感检测系统(传感器)、执行元件系统。通过传感器直径检测目标运动并进行反馈控制的系统为全闭环。通过传感器检测某一部位运动位移并进行反馈,间接控制目标运动的系统为半闭环。 机电一体化三个系统目的功能:变换(加工、处理)功能;传递(移动、输送)功能;储存(保持,积蓄、记录)功能。 机电一体化系统(产品)的五种内部功能:主功能;动力功能;计测功能;控制功能;构造功能。 内部功能之间关系:主功能是实现系统“目的功能”直接必需的功能,主要是对物质、能量、信息及其相互结合进行变换、传递和储存。动力功能是向系统提供动力,让系统得以运转的功能。检测传感功能和控制功能的作用是根据系统内部信息和外部信息对整个系统进行控制,是系统正常运转,实施“目的功能”。构造功能是使构成系统的子系统及元、部件维持所定的时间和空间上的相互关系。 广义的接口功能有两种:变换、调整;输入/输出。根据变换、调整功能接口可分为:零接口(不进行任何变换和调整、输出即为输入,仅起连接作用);无源接口(只用无源要素进行变换调整);有源接口(含有有源要素、主动进行匹配的接口);智能接口(含有微处理器,可进行程序编制或可适应性地改变接口条件的接口)。根据输入/输出功能接口可分为:机械接口(只进行机械连接的接口);物理接口(受接口部位的具体形态和物理条件约束的接口);信息接口(受逻辑,软件约束的接口);环境接口(对周围环境条件有保护作用和隔绝作用的接口)。 机电一体化系统设计的三种考虑方法:机电互补法(又称取代法。特点是利用通用或专用电子部件取代传统机械产品或系统中的复杂机械功能部件或功能子系统,以弥补其不足);融合(结合)法(它是将各组成要素有机结合为一体化构成专用或通用的功能部件(子系统),其要素之间机电参数的有机匹配比较充分);组合法(它是将结合法制成的专用或通用功能部件(子系统)、功能模块像积木那样组合成各种机电一体化产品(系统))。 机电一体化的三种设计类型:开发性设计(它是没有参照产品的设计,仅仅是根据抽象的设计原理和要求,设计出在质量和性能方面满足目的要求的产品或系统);适应性设计(它是在总的设计方案、原理基本保持不变的情况下,对现有的产品进行局部更改,或用微电子技术代替原有的机械结构或为了进行微电子控制对机械结构进行局部适应性设计,以使产品在性能和质量上增加某些附加值);变异性设计(它是在设计方案和功能结构不变的情况下,仅改变现有产品的规格尺寸使之适应于量的方面有所变更的需求)。 机电一体化系统中机械装置的主要特点:较高的定位精度、响应要快、稳定性要好。 机械系统部件的设计要求:低摩擦,无间隙,高刚度,低惯量,高谐振频率,适当的阻尼比。

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

(完整版)电气自动化专业知识

电气自动化专业知识 第一部分电工学基础知识 一、电路基础 1、电路:电流流过的全部通路称为电路。它是由一些电的 设备或器件组成的总体。 2、电源:电路中提供电能或电讯号的器件。 3、负载:在电路中吸收电能或输出讯号的器件称为负载。 4、激励:电源的电流或电压称为激励函数或激励。 5、响应:负载上的电流或电压称为响应。 6、电路元件:电路器件的理想化模型称为电路元件。 7、电容元件:具有储存或释放电场能量的性质,即电场效 应。 8、电感元件:具有储存或释放磁场能量的性质,即磁场效 应。 9、电压:电路中两点电位之差称为电位差,或电压。 10、基尔霍夫定律包括电流定律(KCL)和电压定律(KVL)。 11、基尔霍夫(第一定律)电流定律(KCL):对于任一电 路中的任一节点,在任一瞬时,流出该节点的所有支路 电流的代数和为零。 12、基尔霍夫(第二定律)电压定律(KVL):对于任一电 路中的任一闭合回路,在任一瞬时,流出该闭合回路的 所有支路电压的代数和为零。

13、交流电路:电流、电压的大小或方向随时间变化的电路 称为交流电路。 14、正弦交流电路:电流或电压按照正弦规律变化的电路称 为正弦交流电路。 15、最大值(振幅):正弦电流或电压瞬时值的大小和方向 随时间而变化,幅值变化的最大范围称为最大值或振 幅。 16、周期:正弦函数是一个周期函数,重复变化一次需要的 时间称为周期。周期用T表示,单位为秒(s)。 17、频率:周期的倒数称为频率。频率用f表示,单位为赫 芝,简称赫(Hz)。 18、角频率:正弦电流变化一个周期,幅角变化为2π弧度, 单位时间幅角变化的弧度数2π/T,叫做角频率。用ω表 示,单位为弧度/秒。ω=2πf=2π/T。 19、相位:正弦电流的幅角(ωt+ψi),叫做正弦量的相位。 相位是时间的函数,表示正弦量变化的进程。t=0时的 相位ψi叫做正弦量的初相。 20、正弦量的三要素:正弦量的振幅,角频率(或频率)和 初相,是决定正弦量的三个基本参数,也是进行比较和 区分各个正弦量的依据,称为正弦量的三要素。 21、两正弦量相位之差称为相位差。同频率正弦量的相位差 等于初相之差,它与ω及t无关。即相位差是一个常数。

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

电子设计大赛分类知识点

历届“电源类”赛题的主要知识点 从历届“电源类”赛题来看,主攻“电源类”赛题方向的同学需要了解: 变频电源、PWM开关电源等工作原理、系统结构和电路组成 AC电源变压器的设计与制作 高频开关电源变压器的设计与制作 AC整流和滤波电路设计与制作 斩波和驱动电路设计与制作 逆变和驱动电路设计与制作 电流、电压检测电路设计与制作 过流和过压保护电路设计与制作 真有效值检测电路设计与制作 ADC和DAC电路设计与制作 DC-DC升压型开关电源电路设计与制作 DC-DC降压型开关电源电路设计与制作 直流稳压电路设计与制作 单片机、FPGA、ARM最小系统电路设计与制作 微控制器外围电路(显示器、键盘、开关等)的设计与制作 3.2一些建议: “电源类””赛题中所涉及到的一些知识点,对有些专业的同学来讲,在专业课程中是没有的,需要自己去搞清楚。这一点很重要。理论用来指导行动。没有理论基础,盲人摸象,行动一定会有困难。 另外,“电源类””赛题的实践性要求很强,例如变压器的制作,特别是高频开关电源变压器的制作,电感线圈的设计与制作、PCB的设计等。 (1)主攻“电源类”赛题方向的同学在训练过程中,以历届赛题为基础,可以选择已经出现过的一些赛题做一些训练;主要训练这类赛题的共用部分,如变压器、AC-DC、滤波器、微控制器、ADC/DAC等。完成相关模块的设计制作,以备竞赛需要。 历届“信号源类”赛题的主要知识点 从历届“信号源类”赛题来看,主攻“信号源类”赛题方向的同学需要了解的主要知识点如下: z 采用单片机+DAC产生各种信号 z 采用专用的DDS芯片+单片机产生各种信号 z 采用FPGA产生各种信号 z DAC电路设计与制作 z 滤波电路设计与制作 z DDS接口电路设计与制作 z 功率放大器电路设计与制作 z 电电路设计与制作 z 单片机最小系统设计与制作 z 频率、周期等参数测量电路设计与制作 涉及到信号产生的几个基础知识和技术、电路设计和制作(例如:DDS、FPGA、运算放大器构成的振荡器电路、功率放大器电路、滤波器电路、阻抗匹配等),是各竞赛队都必须掌握的。

eda 电子设计自动化

一、如图1所示,电路为二级电压串联负反馈的放大电路,其中Vs为V AC/SOURCE,其属性设置为默认值。三极管Q2N3904的模型参数为默认值。试用EWB软件作如下的分析:(1)求直流工作点;(2)求无负反馈(即无电阻Rf)时的输入电阻、输出电阻、电压增益和上限截止频率;(3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度,并总结反馈深度对放大电路性能的影响。(25分) Re1 300Rb4 20k Rs 200 Rf 6.2k Vcc 12Vdc Ce2 10u Ce1 10u R5 5k Q2 Q2N3904 Cb2 2.2u Rb3 300k Vout Q1 Q2N3904 Vs 1Vac 0Vdc Cb1 2.2u Vin Re2 680 Rb1 300k Cb3 2.2u Re3 820 Rc1 5.1k R2b 20k Rc2 5.1k 解:(1)直流工作点 由图知:I CQ1=124.345uA I CQ2=140.332uA 计算知:U CEQ1=V CC-I CQ1(R C1+R E1+R E2)=11.244V

U CEQ2=V CC-I CQ2(R C2+R E3)=11.189V (2)无负反馈时的输入电阻、输出电阻、电压增益和上限截止频率 a、输入电阻 由图知:Ui=9.865mA Ii=673.469nA 计算得:Ri=Ui/Ii=14.6kΩ b、输出电阻 由下页图知:Uo=11.665pV Io=2.334fA 计算得:Ro=Uo/Io=5kΩ

C、电压增益 d、上限截止频率 查书得:f=1/(2×3.14×Rs×C)=3.6MhzMhz Rs=200Ω C=2.2uF (3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度

EDA技术实践课程设计--24进制计数器课件

东北石油大学EDA技术实践课程设计 课程EDA技术实践课程设计 题目24进制计数器 院系电气信息工程学院电气系 专业班级 学生姓名 学生学号 指导教师 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA电子设计自动化知识点总结

1、实体界面说明中端口的模式有四种端口模式为:1、IN相当于只可输入的引脚; 2、OUT相当于只可输出的引脚; 3、BUFFER相当于带输出缓冲器并可以回读的引脚; 4、INOUT相当于双向引脚; 2、嵌入式阵列块EAB能实现存储功能,每个EAB提供2048比特,可完成ROM,RAM,双口RAM或者FIFO功能。 3、VHDL程序设计中的两大基本描述语句是顺序语句,并行语句。 4、FLEX10K的结构提供了两条专用高速通道,即进位链和级联链。 5、常用的源程序输入方式有原理图输入方式、状态图输入方式、VHDL软件程序的文本方式。 6、FPGA的可编程互连线分为通用互连、直接互连、长线。 7、FPGA(现场可编程门阵列)结构可分为三部分:可编程逻辑单元、可编程输入/输出单元、可编程连线。 8、CPLD(复杂可编程逻辑器件)的结构可分为三部分:可编程逻辑宏单元、可编程输入/输出单元、可编程内部连线。 9、结构体的三种描述方式:行为级描述、数据流级描述、结构级描述。 10、EDA设计几个描述层次:行为级描述、寄存器传输级描述(RTL)、门级描述、版图级描述。 11、构成一个完整的VHDL语言程序的五大基本结构:实体(ENTITY)、结构体(ARCHITECURE)、配置(CONFIGURATION)、库(LIBRARY)、程序包(PACKAGE)。 12、VHDL的子程序有过程和函数两种类型,具有可重载性。 13、数字ASIC设计方法有两种:全定制法、半定制法(门阵列法、标准单元法、可编程逻辑器件法)。 14、数字系统的模型:数据处理子系统和控制子系统。 15、数字系统设计方法:模块设计法、自顶向下设计法、自底向上设计法。 16、EDA的工程设计流程:原理图/HDL文本输入→功能仿真→综合→适配→时序→仿真→编辑下载→硬件测试。 17、FPGA的配置流程:芯片初始化、芯片配置和起动。 18、转向控制语句共有五种:IF 语句、CASE 语句、LOOP 语句、NEXT 语句和EXIT 语句。 19、并行信号赋值语句有三种形式:简单信号赋值语句、条件信号赋值语句和选择信号赋值语句。 20、条件信号赋值语句与什么语句等效:条件信号赋值语句与进程中的多选择IF语句等价。 21、目前世界上有几十家CPLD/FPGA的公司,最大的三家是:xilinx Alera Lattic 22、CPLD中的I/O控制模块基本主要由输出极性转换电路、触发器、输出三态缓冲器三部分和它们相关的选择电路组成 23、VHDL语言的数据对象有:常量、变量、信号 24、设计过程的仿真有:行为仿真、功能仿真、时序仿真 25、IspLSI/pLSI逻辑器件的结构主要包括:通用逻辑块、巨块结构、全局时钟结构、I/O单元(通用逻辑块、全局布线区、输出布线区、加密单元) 26、VHDL的数据类型:标量型,复合类型,存取类型,文件类型 27、进程语句结构的三个组成部分:进程说明部分,顺序描述语句部分,敏感信号参数表

EDA技术实践课程设计--24进制计数器

E D A技术实践课程设计 --24进制计数器 -CAL-FENGHAI.-(YICAI)-Company One1

东北石油大学EDA技术实践课程设计 年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目 24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年 7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

电子商务网站系统规划知识点整理与练习题

第四章电子商务网站系统规划本章主要框架: 4.1 电子商务网站的总体规划 4.1.1 电子商务网站的规划 4.1.2 电子商务网站的生命周期 4.2 电子商务网站的可行性分析 4.2.1 需求分析 4.2.2 可行性分析 4.3 电子商务网站的设计要求 4.4 电子商务网站的基本构件 4.5 电子商务网站的服务规范 第四章知识点整理 4.1 电子商务网站的总体规划 4.1.1 电子商务网站的的规划 1、网站规划的概念及作用; 2、简述电子商务网站规划的内容; 4.1.2 电子商务网站的生命周期 1、电子商务网站的生命周期的概念 2、论述电子商务网站的生命周期 4.2 电子商务网站的可行性分析 电子商务的可行性分析主要分为:社会的可行性分析、经济的可行性分析、技术的可行性分析,但实际的操作中主要从两个方面入手,需求分析和可行性研究。 下面分析一下需求分析和可行性研究。 4.2.1 需求分析 1、需求分析包括哪几个方面? 需求分析的第二步工作是逐步细化所有的系统功能,找出系统各元素之间的联系、接口特性和设计上的限制,分析它们是否满足功能要求是否合理。为了明确上述的应用系统需求,一般可以从哪几个方面考虑? 4.2.2可行性研究 1、可行性研究的概念:

2、电子商务网站可行性研究包括哪几个阶段?(可行性研究一般的发展阶段顺序); 3、电子商务网站可行性研究的内容? 4、运行可行性需要考虑哪几个方面? 5、技术可行性涉及哪3个问题? 4.3 电子商务网站的设计要求 1、简述电子商务网站设计要求有哪些? 2、电子商务网站与一般的网站的主要区别在于? 4.4 电子商务网站的基本构件 1、电子商务网站由哪些基本构件组成? 2、应用服务器的定义 3、群件的定义 4、内容管理子系统的概念; 5、简述内容管理系统的基本思想 6、目录服务器的发展历程 7、简述搜索引擎的工作原理; 8、简述网站服务器; 4.5 电子商务网站的服务规范 1、电子商务网站服务规范主要分为哪两类? 2、服务类的服务规范有哪些? 3、商品类的服务规范有哪些?

相关主题
文本预览
相关文档 最新文档