当前位置:文档之家› 【信息化-精编】电子设计自动化eda实验指导书

【信息化-精编】电子设计自动化eda实验指导书

【信息化-精编】电子设计自动化eda实验指导书
【信息化-精编】电子设计自动化eda实验指导书

电子设计自动化eda 实验指导书

电子设计自动化(EDA)实验指导书

前言

近些年来,电子设计自动化(EDA)技术发展迅速。一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。于是一场ASIC与FPGA/CPLD之争在所难免。然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。EDA技术正是这场较量的推动引擎之一。一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。整个过程,大部分工作由EDA软件完成。全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera公司的MAX+PLUSⅡ、QuartusⅡ软件;Xilinx公司的Foundation、ISE软件,Lattice公司的ispExpert软件,Actel 公司的Libero软件等。这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。另外,在以SOC 芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、

高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。

EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。我们相信,只要学生扎扎实实完成本实验系统的所有实验,并在此基础上利用现有硬件资源开发出新的数字应用系统,学生的潜力会得到最大程度的发挥,对EDA 技术的学习也会有质的飞跃,从而为推动我国数字系统设计技术的发展做出更大的贡献。

本实验手册是我校电子设计自动化(EDA)课程实验指导的主要依据。根据实验大纲要求,共包含8个实验,其中实验二、三、四为必做,实验五、六、七、八至少选做一个。

目录

实验一EDA软件的熟悉与使用1

实验目的1

实验内容1

实验原理1

实验步骤1

实验报告2

实验思考题2

实验二1位全加器的设计3

实验目的3

实验内容3

实验仪器3

实验原理3

实验注意事项4

实验步骤4

实验报告4

思考题5

实验三基本组合逻辑电路的VHDL模型6 实验目的6

实验内容6

实验仪器6

实验原理6

实验四基本时序逻辑电路的VHDL模型9 实验目的9

实验内容9

实验仪器9

实验原理10

实验步骤19

实验五Melay型有限状态机的设计20 实验目的20

实验内容20

实验仪器20

实验原理20

实验步骤21

实验六ROM设计23

实验目的23

实验内容23

实验仪器23

实验原理23

实验步骤24

实验七键盘控制电路设计26

实验目的26

实验内容26

实验原理26

实验步骤27

实验八交通灯实验29

实验目的29

实验内容29

实验仪器29

实验原理29

实验步骤30

附录一实验要求31

附录二实验成绩的考核与评定办法32 附录三实验项目设置与内容33

实验一EDA软件的熟悉与使用

实验目的

1.熟悉ALTERA公司EDA设计工具软件QuartusII5.0。

2.熟悉ZY11EDA13BE型实验箱。

实验内容

1.学习QuartusII5.0软件课件。

2.学习QuartusII5.0软件的安装,重要菜单命令含义。

3.熟悉ZY11EDA13BE型实验箱的结构与组成。

4.模仿课件中实例动手操作一遍,掌握采用QuartusII5.0软件设计流程。实验原理

参考QuartusII5.0软件学习课件。

实验步骤

1.在教师的指导下,学习软件课件。

2.由教师演示QuartusII5.0软件的安装,介绍菜单命令功能。

3.参考课件实例,动手操作软件,按照流程做完从新建文件,编译,仿真,分配引脚等软件操作部分的全过程。

4.参考第一部分实验系统简介,熟悉ZY11EDA13BE型实验箱结构,组成,了解各模块的基本作用,了解主板I/O分布情况,认识液晶屏,并口连接器,晶振,JTAG接口,逻辑笔,跳线等器件或组件。

实验报告

1.绘制出QuartusII5.0软件设计的详细流程图。

2.描述出QuartusII5.0软件是如何进行目标器件选择,I/O分配和锁定引脚的。

3.描述出QuartusII5.0软件help菜单功能,如何有效的使用它。

4.写出系统主板的I/O口分布情况。

5.写出ZY11EDA13BE型实验箱拨码开关CTRL各档的作用。

6.描述出一个完整的实验流程。

实验思考题

1.QuartusII5.0软件支持那些器件,该软件有什么局限性?

2.QuartusII5.0软件使用中大小写字母是否有区别?

3.在进行一个完整的实验流程时应注意些什么?

实验二1位全加器的设计

实验目的

1.掌握QuartusII5.0软件使用流程。

2.熟悉ZY11EDA13BE型实验箱的开关按键模块,LED显示模块。

实验内容

在QuartusII5.0软件中使用原理图输入法设计并实现一个1位全加器。实验仪器

1.ZY11EDA13BE型实验箱通用编程模块,配置模块,开关按键模块,LED 显示模块。

2.并口延长线,JTAG延长线。(所有实验均包括,以下实验中均略去)。

3.安装QuartusII5.0软件的PC机。(所有实验均包括,以下实验中均略去)。

实验原理

1位全加器可以用两个半加器及一个或门连接而成,半加器原理图的设计方法很多,我们用一个与门、一个非门和同或门(xnor为同或符合,相同为1,不同为0)来实现。先设计底层文件:半加器,再设计顶层文件全加器。

(1)半加器的设计

半加器表达式:进位:co=aandb

和:so=axnor(notb)

半加器原理图如下:

(2)全加器的设计

全加器原理图如下:

实验注意事项

实验指导书中的所有实验内容都是针对主板系统的核心芯片EP1K30QC208-2来设计的,实验原理中提供了管脚分配情况,管脚分配好后必须通过成功编译才可以下载配置。

实验步骤

1.在QuartusII5.0软件中新建原理图文件,输入原理图,进行编译,仿真。

2.指定目标器件,并对编译通过的原理图分配管脚(可参考实验原理),分配完后再编译一次。

3.用并口延长线连接计算机机箱并口和实验箱并口插座,用JTAG延长线连接通用编程模块下载接口插座和配置模块核心芯片下载接口插座,接通实验箱电源,将实验箱电源按钮APW1,APW2按下,电源指示灯PL0-PL4亮。

4.下载配置文件f_adder.pof到目标芯片。

5.将拨码开关CTRL的(2)、(4)、(8)均设置为“ON”。

6.拨位开关KD1、KD2、KD3分别作为全加器a输入,b输入和进位c 输入。LED1、LED2分别作为全加器进位和全加和。记录全加器的实验结果填入实验报告。灯亮表示‘1’(高电平),灯灭表示‘0’(低电平)。

实验报告

1.列出半加器与全加器的真值表。如果实验室条件允许,打印半加器和全加器仿真波形图贴于实验报告中。

2.用文字描述出怎样实现层次化设计。

3.1位全加器的实现方法很多,画出其它方法的原理图。

思考题

1.多位全加器就是在一位的原理上扩展而成的,设计出原理图输入的8位全加器。

2.集成电路全加器芯片有7480、7483等,试述其内部结构是如何实现的?

3.参考全加器的设计思路设计出原理图输入的1位全减器。(提示:全加器的设计是根据真值表来建立最简表达式,最简表达式应该是一些基本门电路,同样全减器的设计也是如此)。

实验三基本组合逻辑电路的VHDL模型

实验目的

1.掌握简单的VHDL程序设计。

2.掌握用VHDL对基本组合逻辑电路的建模。

实验内容

分别设计并实现缓冲器、选择器、译码器、编码器、移位器、全加器的VHDL 模型。

实验仪器

ZY11EDA13BE型实验箱通用编程模块,配置模块,开关按键模块,LED 显示模块。

实验原理

1.三态缓冲器

三态缓冲器(Tri-stateBuffer)的作用是转换数据、增强驱动能力以及把功能模块与总线相连接。在使用总线互连方式时,与总线通信的器件通常要通过三态缓冲器与总线相连。如果缓冲器的使能端en为1,则缓冲器的输入端in1的信号值被复制到输出端;如果缓冲器的使能端en为其它数值,则缓冲器的输出端为高阻态。三态缓冲器的输出端可以用线与的方式和其他缓冲器的输出端接在一起。下例20-1-1给出了三态缓冲器的VHDL源代码模型:在IEEE的1164标准程序包中,用Z表示高阻态,现在的EDA综合工具

一般都能根据这种描述综合得到三态器件。下图20-1为本例中三态缓冲器的仿真波形图:

图20-1三态缓冲器的仿真图形

2.数据选择器(Multiplexer)

在数字系统设计时,需要从多个数据源中选择一个,这时就需要用到多路选择器。下例20-2-1给出了四选一、被选择数字宽度为3的选择器VHDL源代码模型:

在上面这个模型中,由于使用了条件赋值语句,所以写得很简短。上面的程序代码还可以改写为使用进程的等价方式。如下例20-2-2的VHDL源代码所示:

由于模型中使用了std_Logic和std_Logic_vector数据类型,sel可能的数值不止四种,所以两种模型中都有一个分支来处理其他的数值。在综合的时候,EDA工具一般都忽略这一分支。除了处理三态器件中的高阻态‘Z’外,综合工具采用完全相同的方法来处理std_Logic和Bit数据类型。图20-2为本例中多路选择器的仿真波形图:

图20-2多路选择器的仿真图形

3.译码器(Decoder)

译码器(Decoder)的输入为N位二进制代码,输出为2N个表征代码原意的状态信号,即输出信号的2N位中有且只有一位有效。常见的译码器用途是把二进制表示的地址转换为单线选择信号。下面例20-3-1为一个3-8译码器的VHDL源代码模型:

下图20-3为本例中3-8译码器的仿真波形图:

图20-33-8译码器的仿真图形

4.编码器(Encoder)

编码器(Encoder)的行为是译码器行为的逆过程,它把2N个输入转化为N位编码输出。有的编码器要求输入信号的各位中最多只有一位有效,且规定如果所有输入位全无效时,编码器输出指定某个状态。编码器的用途很广,比如说键盘输入编码等。下面例20-4-1为一个8-3优先编码器的VHDL源代码模型:

下图20-4为本例中8-3优先编码器的仿真波形图:

图20-48-3优先编码器的仿真图形

5.移位器(Shifter)

数据的移位是很重要的操作,在一定的条件下,右移意味着被2除,左移意味着乘以2。下面例20-5-1为一个移位器(Shifter)的VHDL源代码模型:在这个模型中,如果sr=‘1’且sl=‘0’,移位器将输入信号右移一位后赋给输出信号;如果sr=‘0’且sl=‘1’,则移位器将输入信号左移一位后赋给输出信号。对于sr和sl的其他两种输入模式,将输入信号直接赋给输出信号。信号il和ir分别是左移操作和右移操作时在输入数据右端(或左端)补上的数据。使用‘&’进行移位操作是常用的处理方法,但在VHDL’93版中增加了移位语句,可以直接实现移位操作。下图20-5为本例中移位器的仿真波形图:

图20-5移位器的仿真图形

6.全加器(Adder)

加法器是最基本的运算单元。加法器中最小的单元是一位全加器,下面例

20-6-1为一位全加器(Adder)的VHDL源代码模型:

这个全加器中有两个数据输入端in1和in2,一个和输出端sum以及一个进位输出端carry_out。用这个全加器级联是形成加法器最简单的实现方式,这种电路每个单元的结构都相同、但是在操作数的字长较大时,由于进位要经过多次传递,限制了这种电路的速度,并且和的各位产生的时刻也不同。为了提高运算速度,可以采用行波进位加法器(RIPPLE-CARRYADDER)。下图20-6为本例中一位全加器的仿真波形图:

图20-6一位全加器的仿真图形

实验步骤

1.在QuartusII5.0软件中新建文本文件,输入自己设计的VHDL程序代码,编译,仿真,锁定管脚并下载到目标芯片。

2.用拨位开关作为输入,LED作为输出,分别验证结果的正确性。

实验四基本时序逻辑电路的VHDL模型

实验目的

1.掌握简单的VHDL程序设计。

2.掌握VHDL对基本时序逻辑电路的建模。

实验内容

分别设计并实现锁存器、触发器、寄存器、计数器的VHDL模型。

实验仪器

ZY11EDA13BE型实验箱通用编程模块,配置模块,时钟源模块,开关按键模块,LED显示模块。

实验原理

1.锁存器(latch):顾名思义,锁存器(latch)是用来锁存数据的逻辑单元。锁存器一般可以分成三种基本类型:电平锁存器、同步锁存器和异步锁存器。下面我们将逐一介绍这三种锁存器的VHDL模型的描述方式。

(1)电平锁存器:

电平锁存器一般用在多时钟电路,比如微处理器芯片中。电平锁存器的特点是:常常有多路数据输入。例21-1-1为单输入电平锁存器的VHDL模型:当复位信号reset有效(高电平)时,锁存器latch1被复位,输出信号q 为低电平;当复位信号reset无效(低电平)时,如果s信号为高电平,输出信号q输出输入端的值;当s信号为低电平时,latch1的输出信号q保持原值,亦即数据锁存。下图21-1为单输入电平锁存器的仿真波形图:

图21-1单输入电平锁存器的仿真图形

例21-1-2为多输入电平锁存器的VHDL模型:

当复位信号reset有效(高电平)时,锁存器latch2被复位,输出信号q 为低电平;如果复位信号reset无效(低电平)时,则根据选择信号s0、s1和s2来决定输出信号q是选择输入信号data0、data1还是data2;如果s0、s1和s2都无效(低电平),则锁存器输出信号q保持原值不变,亦即数据锁存。下图21-2为多输入电平锁存器的仿真波形图:

图21-2多输入电平锁存器的仿真图形

(2)同步锁存器:

同步锁存器是指复位和加载功能全部与时钟同步,复位端的优先级较高。在数字系统设计时,采用完全同步的锁存器,可以避免时序错误。例21-1-3为同步锁存器的VHDL模型:

每当时钟信号clk跳变时激活进程,如果此时复位信号reset有效(高电平),锁存器latch3被复位,输出信号q为低电平;如果此时复位信号reset 无效(低电平),并且装载信号load有效(高电平),锁存器latch3输出信号q为输入信号data;否则,锁存器输出保持原值,亦即数据锁存。下图21-3为同步锁存器的仿真波形图:

图21-3同步锁存器的仿真图形

(3)异步锁存器:

异步锁存器,是指复位与时钟不同步的锁存器。例21-1-4为异步锁存器的VHDL模型:

每当时钟信号clk跳变或者复位信号reset激活进程时,如果此时复位信号reset有效(高电平),锁存器latch4被复位,输出信号q为低电平;如果此时复位信号reset无效(低电平),并且正好时钟上升沿到达,而装载信号load 有效(高电平),则锁存器latch4输出信号q为输入信号data;否则,锁存器输出保持原值,亦即数据锁存。下图21-4为异步锁存器的仿真波形图:

图21-4异步锁存器的仿真图形

1.触发器(flip-flop)

触发器(flip-flop)是最基本的时序电路单元,指的是在时钟沿的触发下,引起输出信号改变的一种时序逻辑单元。常见的触发器有三种:D触发器、T 触发器和JK触发器。

(1)D触发器:

D触发器是最常用的触发器。按照有无复位信号和置位信号,以及复位、置位信号与时钟是否同步,可以分为多种常见的D触发器模型,以下将逐一给出示例和简单的说明。例21-2-1为简单D触发器的VHDL模型:D触发器dff1是最简单的D触发器,没有复位和置位信号,在每个时钟信号clk的上升沿,输出信号q值为输入信号d;否则,触发器dff1的输出信号q保持原值。图21-5为简单D触发器的仿真波形图:

图21-5简单D触发器的仿真图形

例21-2-2为带异步置位D触发器的VHDL模型:

dff2是一个带异步置位的D触发器,当时钟信号clk或者置位信号prn有跳变时激活进程。如果此时置位信号prn有效(高电平),D触发器dff2被置位,输出信号q为高电平;如果置位信号prn无效(低电平),而且此时时钟出现上跳沿,则D触发器dff2的输出信号q变为输入信号d;否则,D触发器dff2的输出信号q保持原值。图21-6为带异步置位D触发器的仿真波形图:图21-6带异步置位D触发器的仿真图形

例21-2-3为带异步复位D触发器的VHDL模型:

dff3是一个带异步复位的D触发器,当时钟信号clk或者复位信号clr有跳变时激活进程。如果此时复位信号clr有效(高电平),D触发器dff3被复位,输出信号q为低电平;如果复位信号clr无效(低电平),而且此时时钟出现上跳沿,则D触发器dff3的输出信号q变为输入信号d;否则,D触发器dff3的输出信号q保持原值。图21-7为带异步复位D触发器的仿真波形图:

图21-7带异步复位D触发器的仿真图形

电子设计自动化技术及其应用简述

龙源期刊网 https://www.doczj.com/doc/968618489.html, 电子设计自动化技术及其应用简述 作者:张彦超 来源:《科技创新与应用》2013年第07期 摘要:随着计算机和电子技术的广泛应用,电子工程的发展已经呈现出超速发展的态势。其中,EDA技术成为了现如今电子工程技术之中的一个重要科学技术。文章主要阐述了EDA 技术的概念、特点、作用以及其设计的领域。 关键词:EDA;自动化;硬件设计 1 电子设计自动化技术的基本含义及现状 电子设计自动化(Electronic Design Automation,EDA)技术是以计算机科学和微电子技 术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从上个世纪中叶开始,相关的科学技术人员相继研发出种类繁多的计算机辅助设计工具,通过这些工具帮助设计研究人员完成电子系统和集成电路的作业设计,也正是因为这样,EDA技术的发展在集成电路飞速发展的严峻形势下,也不得不完成自身技术的创新 与飞跃,为更大规模庞大的集成电路系统设计与制造提供更多的支持,以此来适应社会生活和生产的需要。 EDA是从20世纪60年代中期的计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念中中发展过来的,它是电子设计自动化的缩写。EDA技术就是以计算机作为主要工具,在EDA软件的工作平台上,设计者使用硬件描述语言VHDL来进行设计,而后由计算机自动的完成逻辑编译、化简、分割、综合、 优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。这一技术是电子计算机、微电子操作技术、电路知识、信息收集分析和信号处理等多项技术的结晶。 如今对EDA概念的诠释延伸了很多领域,不仅仅局限于某一行业内。在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等领域内,都会发现有EDA技术的身影。EDA在教学、科研、产品设计与制造等多个方面都能够发挥出其重要的作用。在教学方面, 现在EDA课程几乎都要遍及到所有理工科类的高等院校,是一项热门的科学专业。学校传授的EDA技术,主要是要让学生认识、了解EDA的基本概念和基本的理论,能够掌握运用HDL语言编写简单的规范、了解掌握逻辑综合的理论和算法,能够运用EDA技术平台独立进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造

电子设计自动化实验报告

江苏大学京江学院Array JINGJIANG COLLEGE OF J I A N G S U U N I V E R S I T Y 课程实验报告 电子设计自动化实验报告 班级: 学号: 姓名:

实验一半加器和全加器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习用图形输入方式和VHDL语言输入方式设计数字电路 3. 学习用元件例化语句进行结构化设计 二、实验内容 1. 用图形方式设计一位半加器 2.用VHDL语言设计一位半加器 3.用图形方式设计全加器 4.用元件例化方式设计全加器 三、设计实现 1. 用图形方式设计一位半加器

原理图: 仿真波形: 2.用VHDL语言设计全加器 原理图: 源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2 IS PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC); END ENTITY or2; ARCHITECTURE fu1 OF or2 IS BEGIN c<=a OR b; END ARCHITECTURE fu1; ---半加器描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY half_adder IS

PORT(a,b:IN STD_LOGIC;s,c:OUT STD_LOGIC); END ENTITY half_adder ; ARCHITECTURE fu1 OF half_adder IS BEGIN s<=a XOR b; c<=a and b; END ARCHITECTURE fu1; --1位二进制全加器顶层设计描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT( A,B,Cin :IN STD_LOGIC;sum,cout :OUT STD_LOGIC;); END ENTITY f_adder IS COMPONENT h_adder2; PORT( a,b:IN STD_LOGIC; c,s :OUT STD_LOGIC); END COMPONENT; COMPONENT or2 PORT( a,b :IN STD_LOGIC; c :OUT STD_LOGIC); END COMPOMENT; SINGNAL d,e,f : STD_LOGIC; BEGIN u1 :h_adder2 PORT MAP( A,B,d,e); u2 :h_adder2 PORT MAP(d,Cin,f,sum); u3 : or2 PORT MAP(e,f,cout); END ARCHTECTURE fd1; 仿真波形: 实验二四选一数据选择器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习VHDL语言中顺序语句和并行语句的使用

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

电子设计自动化在电子设计中的应用

电子设计自动化在电子设计中的应用 发表时间:2017-01-09T14:50:10.380Z 来源:《基层建设》2016年27期作者:黄本锐 [导读] 利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 广西地凯科技有限公司广西南宁 530003 摘要:在电子设计自动化(EDA)工程中,用硬件描述语言表达设计思想,用计算机进行模拟、仿真,可测试设计把测试器件设计到芯片系统内部,实现了内建自测试功能。利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 关键词:电子设计自动化;电子设计;应用 前言 以往电子产品的设计方法为:设计项目经过工程估算,实验板搭试、调整,印刷电路板设计与制作,装配与调试,用逻辑分析仪、示波器等电子仪器进行性能测试,若测试不符合设计要求则从头开始,进行新一轮的设计开发过程,直到达到设计要求。这种循环有时需要3-4次,整个过程全由人工完成,使得新产品的开发周期很长。EDA工程的出现,现代电子设计方法的应用,加快了产品开发速度。可以说现代电子设计技术的核心就是EDA工程,它的出现促进了电路模拟技术的发展。 1、EDA技术概述 1.1 EDA技术特征 概括来说,EDA的主要特征是:硬件采用工作站和高档微机;软件采用EDA 212具,功能包括:原理图输入、硬件描述语言输入、波型输入、仿真设计、可测试设计、逻辑综合、形式验证、时序分析等各个方面。设计方法采用自顶向下的方法,设计工作从高层开始,使用标准化硬件描述语言(VHDL)描述电路行为,自顶向下跨过各个层次,完成整个电子系统设计。 此外EDA还提供了良好的逻辑综合与优化功能,它能够将设计人员设计的逻辑级电路图自动地转换为门级电路,并生成相应的网表文件、时序分析文件和各种报表,若设计没有错误,最终生成可以编程下载的文件。 1.2 EDA的技术优势 一是用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期[1]。 二是EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机,就能对所设计的电子系统从各个不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后.还能对系统上的目标器件进行所谓边界扫描测试。 三是开发技术标准化、规范化,具有良好的可移植与可测试性。EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变。 2、EDA在电子设计中的设计思路 2.1电路设计 设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等。其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。 仿真通过后,根据原理图产生的电路连接网络表进行印刷电路板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图;进行第三次仿真,称之为后仿真。其作用是检验PCB在实际工作环境中的可行性。 2.2系统级设计 基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量、成本、开发周期等因素。第一步从系统方案设计入手,在项层进行系统功能划分和结构设计;第二步用VHDL、Vcrilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级电路网络表,这是将高层次描述转化为硬件电路的关键:第五步将利用产品的网络表进行适配前的时序仿真;最后是系统的物理实现级,它可以是CPLD、FPGA或ASIC[2]。 3、EDA在电子设计中的应用 3.1源程序的编辑和编译 利用EDA技术进行一项电子工程设计,首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式或图形方式表达出来,进行排错编译,变成VHDI。文件格式,为进一步的逻辑综合做准备。常用的源程序输入方式有三种:一是原理图输入方式:利用EDA工具提供的图形编辑器以原理图的方式进行输入,原理图输入方式比较容易掌握,直观且方便。 二是状态图输入方式:以图形的方式表示状态图进行输入。当填好时钟信号名、状态转换条件、状态机类型等要素后,就可以自动生成VHDL程序。这种设计方式简化了状态机的设计,比较流行。 三是VHDL软件程序的文本方式:最一般化、最具普遍性的输入方法,任何支持VHDL的EDA工具都支持文本方式的编辑和编译。 3.2逻辑综合和优化 所谓逻辑综合,就是将电路的高级语言描述(如HDL原理图或状态图形的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件。逻辑映射的过程,就是将电路的高级描述,针对给定硬件结构组件,进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。而网表文件就是按照某种规定描述电路的基本组成及如何相互连接的关系的文件。 3.3目标器件的布线/适配 适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。对于一般的可编程模拟器件所对应的EDA软件来说,一般仅需包含一个适配器就可以了,如Lattice的PAC—DESIGNER。通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商自己提供,因为适配器的适配对象直接与器件结构相对应[3]。

电子设计自动化技术教学计划

《电子设计自动化技术》 课程教学计划 (90学时) 一、课程性质和任务 本课程是我校主干专业《电子电器应用与维修》专业的新课程。其任务是:使学生掌握电子设计自动化技术的基础知识,具备电子线路方面的计算机应用能力、电路的基本仿真设计能力和印制线路板的设计能力,并为培养学生的创新能力和全面素质打下良好的基础。 二、课程教学目标 (一) 知识教学目标 1. 理解电子设计自动化(EDA) 技术的基本概念。 2. 了解电子行业应用EDA技术的概况。 3. 进一步理解电子线路的电路结构、原理和特性。 4. 了解印制线路板的设计原则和制作工艺。 (二) 能力培养目标 1. 能正确使用EDA工具软件。 2. 能绘制符合规范要求的电原理图和印制线路板图。 3. 会对简单的电子线路进行仿真设计。 (三) 思想教育目标 1. 具有规范操作的意识和认真细致的工作作风。 2. 具有理论联系实际的能力和一定的创新精神和创业能力。 三、教学内容和要求 基础模块 (一) 绪论 1. 了解EDA技术的基本概念、发展与应用概况,了解常用EDA软件,了解本课程的主要内容和学习方法。 2. 了解电路仿真和PCB辅助设计在EDA技术中的作用和地位。 (二) 电路仿真软件基础 1. 了解仿真软件基本界面和帮助信息。 2. 掌握文件打开与建立、元器件操作、连线、文件存盘与退出、查看分析结

果和电路图输出等基本操作。 3. 理解子电路的含义及功能,掌握子电路的设计和使用方法。 (三) 仿真虚拟仪器使用 1. 了解数字万用表、函数信号发生器、示波器、扫频仪、信号发生器、逻辑分析仪和逻辑转换仪等虚拟仪器的面板功能。 2. 掌握电路仿真虚拟仪器的参数设置、连接、显示调整以及测量结果记录等方法。 (四) 电路仿真分析与设计 1. 掌握仿真元件参数的设置方法及器件的替换方法。 2. 了解仿真软件的重要分析方法对电路设计的作用。 3. 掌握静态工作点的分析、AC分析、瞬态分析、参数扫描分析等常用分析方法。 4. 了解常用器件建模过程,了解在互联网上获取新器件仿真模型的方法,并将其引入仿真软件中。 5. 了解晶体管、常用模拟集成电路、数字集成电路的建模方法及过程,了解常用新器件的模型收集方法。 6. 了解仿真结果的应用方法。 7. 了解将仿真结果进行再处理和应用到技术文档中的方法。 8. 了解电路网络表文件与印制线路板设计之间的联系,加深对EDA技术的理解。 (五) 印制线路板基础知识 1. 了解印制线路板的基本概念、种类和制作工艺。 2. 了解印制线路板设计的基本过程。 3. 理解印制线路板布局原则。 4. 理解印制线路板布线原则。 (六) 原理图编辑 1. 了解原理图设计软件的基本界面和各种编辑器。 2. 掌握原理图设计软件的启动、文件管理、工作环境设置。 3. 掌握原理图设计软件的电路元件、电源、I/O端口的放置与连接。 4. 理解总线、网络标号的概念,掌握总线的应用。 5. 理解层次图的概念及作用,掌握层次图的应用。 6. 理解电气规则检查的作用,掌握电气规则检查的方法。 7. 理解网络表文件的概念和作用,掌握网络表文件和元件清单的生成方法。 8. 掌握元件的设计方法。 9. 了解原理图输出参数的设置,掌握原理图的输出方法。 10. 学会原理图编辑的文件操作、元件调用和连线操作。 11. 学会总线和网络标号的应用。 12. 能绘制原理图。 (七) PCB设计软件 1. 了解印制线路板的设计流程。 2. 理解原理图、网络表和PCB元件之间的匹配问题。 3. 掌握网络表文件的修改方法。 4. 理解印制线路板的设计规则,掌握印制线路板的设计基本规则。 5. 掌握印制线路板手工布线的方法。

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

电子设计自动化技术课件答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

电子设计自动化实验报告

*************** 实验报告 课程名称:电子设计自动化小组成员及学号:_______________ _________ _______________ _______________ _______________ ********************** ***********

应用程序,启动protel99se。 3、创建一个新的设计数据库文件 步骤:1). 【File】|【New】 2). 单击Browse按钮,选择文件的存储位置,Protel 99 SE默认文件名为desigh .ddb”。 3). 单击【OK】们就创建了一个新的设计数据库文件。 4、启动原理图编辑器 步骤:1). 【File】|【New】 2). 单击Schematic Document 【OK】或直接双击 3). 单击Explore 下的Sheet1或直接双击工作窗口中的Sheet1

1).更改屏幕分辨率 2).界面字体设置 8、在Protel99se中建立自己的设计数据库Design.ddb。

实验内容与分析: 1).设置电路图纸 假定系统已进入原理图编辑器,提出以下要求: A.图纸大小:B号; B.图纸方向:水平方向放置; C.标题栏型式:标准型标题栏。 2).将库文件“Miscellaneous Devices.ddb”, “Dallas Microprocessor.ddb”,“Intel Databooks.ddb”, “Protel Dos Schematic Libraries.ddb”依次装入。 3、放置元件 在元件库中选定所需元件,然后放置元件到工作平面上。 4、删除元器件 1)菜单命令【Edit】|【Delete】 2)当光标变为十字形后,将光标移到要删除的元件处,单击鼠标左键即可将所指元件删除。此后,程序仍处于删除命令状态,若要退出单击鼠标右键或按ESC 键退出命令状态。 5、元件移动 菜单命令:【Edit】|【Move】|【Move】

EDA实验指导书new_Quartus2

EDA技术实验手册及程序代码 物理与信息项目学院 学号:111000228 姓名:汪艺彬 注意事项 1、本实验手册是为了配合《EDA技术实用教程》,作为本课程实验环节的补充 指导而编制。 2、实验中涉及的QuartusⅡ软件的使用请参考 《EDA技术实用教程》中有关章节。 手册中所有的虚线空白框,都留出来作为实验记录之用,每个实验完成后,应按照实验内容的要求将实验结果记入框中。 4、每个实验后面都附有一道思考题,完成实验内容后可以作为更进一步的练习 。 5、每次实验后将手册相关部分<完成实验结果记录)和实验源代码<.vhd文件) 一起,作为实验报告上交。 6、课程结束后请将所有报告按顺序加封面装订好上交,作为实验部分成绩计入 总成绩。 实验一利用原理图输入法设计4位全加器一、实验目的: 熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉

熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示 3.利用层次化原理图方法设计4位全加器 <1)生成新的空白原理图,作为4位全加器设计输入 <2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示 4、设计一个超前进位4位全加器 以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。 在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示 5、完成设计流程

EDA实验箱实验指导书

实验二流水灯 1.实验目的 通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。 2.实验内容 本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。3.实验原理 在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的速率控制在2Hz左右。在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。为了产生2Hz的时钟源,在此调用了分频模块int_div。 4.实验步骤 (1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。 (2)新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。 流水灯程序参考 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY ledwater IS PORT( clk: IN STD_LOGIC; led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END; ARCHITECTURE one OF ledwater IS SIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN led<=led_r(7 DOWNTO 0); PROCESS(clk) BEGIN IF clk’event and clk=’1’ THEN led_r<=led_r(7 DOWNTO 0) & '0'; IF led_r="000000000" THEN --循环完毕吗? led_r<="111111111"; --是,则重新赋初值 END IF; END IF; END PROCESS; END; (3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。

相关主题
文本预览
相关文档 最新文档