当前位置:文档之家› 8个彩灯控制电路设计

8个彩灯控制电路设计

8个彩灯控制电路设计
8个彩灯控制电路设计

课程设计(论文)

题目名称8个彩灯控制电路设计

课程名称单片机原理及接口技术

学生姓名何辉

学号0941201058

系、专业电气工程系测控类

指导教师杨波

2011年6 月25 日

邵阳学院课程设计(论文)任务书

年级专

09级电气工程系学生姓名何辉学号0941201058

题目名称8个彩灯控制电路设计计时间2011年6月7日—2011

年7月3日

课程名称单片机原理及在

电气测控学科中

的应用

课程编号121200105 设计地点

数字控制与PLC实验

室\创新实验室

(214)(305)

一、课程设计(论文)目的

通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。

二、已知技术参数和条件

1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。

2、WA VE 软件或KEIL 软件编译

三、任务和要求

任务:

用AT89S51单片机设计设计一个8个彩灯控制电路。

要求:

1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2

号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺

序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。

2、用proteus仿真

注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;

2.此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等)

1、《单片微机原理》主编丁元杰

2、《MCS-51系列单片机应用系统设计系统配置与接口技术》主编何立民

3、《数字电子技术》主编张克农

4、彭介华主编.电子技术课程设计指导》.高教出版社出版.第一版.2002年

5、数字控制与PLC实验室提供的主要仪器设备:

THKSCM-1型单片机实验系统实验指导书,WAVE 软件、KEIL 软件

五、进度安排

2011年6月1日-7日:收集和课程设计有关的资料,熟悉课题任务何要求

2011年6月7日-8日:总体方案设计

2011年6月9日-10日:硬件电路设计

2011年6月11日-12日:软件设计

2011年6月13日-15日:系统调试改进

2011年6月16日:整理书写设计说明书

2011年6月17日:答辩并考核

六、教研室审批意见

教研室主任(签字):

年月日

七、主管教学主任意见

主管主任(签字):

年月日

八、备注

指导教师(签字):学生(签字):

附件3

邵阳学院课程设计(论文)评阅表

学生姓名何辉学号0941201058

系电气工程系专业班级09电本一班

题目名称8个彩灯控制电路设计课程名称单片机原理及接口技术

一、学生自我总结

回顾起此次单片机课程设计,我仍感慨颇多,的确,从选题到定稿,从理论到实践,在接近四星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。通过这次课程设计之后,一定把以前所学过的知识重新温故。

学生签名:

2011年6月25 日

二、指导教师评定

评分项目平时成绩论文答辩综合成绩

权重30 40 30

单项成绩

教师评语:

指导教师(签名):年月日

注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面;

2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。

摘要

在日常生活、欢乐节日、娱乐及科研中,到处都会遇到饰问题,总离不开彩灯控制器。彩灯控制电路的不断完善使得人们的生活更加丰富多彩。

本设计主要介绍彩灯控制电路的设计组成及工作原理。电路中的5V电压通过排阻与8个发光二极管共阳相连,一端与P2相连,当电路启动后,若P2.x为低电平,那么相应的二极管将会点亮,否则熄灭。根据技术计数指标的要求,通过大量资料的查询,并有效结合所学知识,最后确定了的一套比较合适的方案,通过改变P2口德输出从而改变8个二极管的状态。通过分析研究,结合AT89C51和排阻的功能,完成了电路设计方案,通过检查,仿真,调试完成彩灯控制电路。

关键字:发光二极管、AT89C51、排阻

目录

摘要 (Ⅰ)

1课题内容要求及目的 (1)

1.1课题目的 (1)

1.2课题要求 (1)

1.3课题内容 (1)

2硬件设计 (2)

2.1 AT89C51单片机简介 (2)

2.2设计思路 (3)

2.3硬件电路图 (4)

3软件设计 (5)

3.1程序流程图 (5)

3.2源程序 (7)

4系统仿真与调试 (10)

总结体会 (11)

参考文献 (12)

1课题内容要求及目的

1.1课题目的:

通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。

1.2课题要求:

本设计中的8路LED彩灯控制电路,由89C51单片机、排阻、晶振等器件构成,主要功能是实现1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2号→3号→...→7号→8号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。

1.3 课题内容

8路彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后全部熄灭,反复循环这一过程

晶体振荡器:振荡频率为12MHZ,每一个周期的时间为1US

排阻和二极管:排阻一端接5 V电源,一端与发光二极管相连,其中二极管为共阳连接。二极管一端与P2口相连,从而控制二极管的亮或灭。

表1.1元件列表

器件数量型号

时钟引脚1个12MHZ

电阻1个1K?

单片机1个AT89C51

排阻1个TERMINAL LABEL

发光二极管8个RED

2.1 AT89C51单片机简介:

AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

图2.1单片机A T89C51

设计时,采用12MHZ晶体振荡器,采用89C51单片机的P2口作为输出,低电平

有效。8个发光二极管共阳连接,并与P2断相连接,通过程序控制P2口的输出,从而

改变8个二极管的状态(亮或灭)。

先将0FFH赋给A,将进位C清零,带进位右移,再将A送给P2,调用延时1S,按

上依次点亮D1-D8.最后判断8个灯是否全部点亮了,不是的话返回,是的话,执行下

面的程序。

以D1-D8依次点亮为例:

表2.1

灯D1 D2 D3 D4 D5 D6 D7 D8 C 0 0 0 0 0 0 0 0 A 7FH 3FH 1FH 0FH 07H 03H 01H 00H P2 7FH 3FH 1FH 0FH 07H 03H 01H 00H (其中A的值是程序依次执行时形成的,并将其值依次送给P2)

其他不同顺序灯的点亮或熄灭与上相似。

2.3硬件电路图

图2.2硬件电路

3软件设计

3.1程序流程图

N

Y

循环

N

Y

延时1s

是否都点亮了

开始

延时1s

是否都熄

灭了

延时2s

置初值

将A 送P2

延时10s

将A 送P2

N

Y

N

Y

图3.1程序流程图

END 延时2s 是否都灭了

延时1s 将A 送P2 延时20S

是否都亮了

延时1s

将A 送P2

3.2源程序

ORG 0000H

LOOP0:MOV A, #0FFH

MOV P2, #0FFH ;开始时全灭

;-------------------------------1-8逐个点亮 MOV R2, #8

LOOP2: CLR C

RLC A

MOV P2, A

CALL DL500MS

CALL DL500MS

DJNZ R2, LOOP2

;-------------------------------全亮10s

MOV R2,#10

LOOP3: MOV P2, #0

CALL DL500MS

CALL DL500MS

DJNZ R2,LOOP3

;-------------------------------8-1逐个熄灭 MOV R2, #8

LOOP2: SETB C

RRC A

MOV P2, A

CALL DL500MS

CALL DL500MS

DJNZ R2, LOOP2

;-------------------------------全灭2S

MOV R2,#4

LOOP4: MOV P2, #255

CALL DL500MS

DJNZ R2,LOOP4

;-------------------------------8-1逐个点亮 MOV R2, #8

LOOP5: CLR C

RRC A

MOV P2, A

CALL DL500MS

CALL DL500MS

DJNZ R2, LOOP5

;-------------------------------全亮20S

MOV R2,#20

LOOP6: MOV P2, #0

CALL DL500MS

CALL DL500MS

DJNZ R2,LOOP6

;-------------------------------1-8逐个熄灭 MOV R2, #8

LOOP7: SETB C

RLC A

MOV P2, A

CALL DL500MS

CALL DL500MS

DJNZ R2, LOOP7

;-------------------------------全灭2S

MOV R2,#4

LOOP8: MOV P2, #255

CALL DL500MS

DJNZ R2,LOOP8

;-------------------------------延时子程序

DL500MS:

MOV R5, #9 ;1T

DL1: MOV R6, #128 ;1T

DL2: MOV R7, #215 ;1T

NOP ;1T

DL3: DJNZ R7, DL3 ;2T 2 * 215 = 430 T

DJNZ R6, DL2 ;2T [1+1+430+2] * 128 = 55552 T DJNZ R5, DL1 ;2T [1+55552+2] * 9 = 499995 T RET ;2T 1 + 499995 + 2 = 499998 T ;-------------------------------------

END

4系统仿真与调试

第一步:

1号→2号→3号→...→7号→8 号,时间间隔为1S

图4.1调试第一步

然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S

图4.2调试第二步

总结体会

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实

践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展

的日新日异,单片机已经成为当今计算机应用中空前活跃的领域,在生活中可以说得是无处不在。因此作为二十一世纪的大学来说掌握单片机的开发技术是十分重要的。

回顾起此次单片机课程设计,我仍感慨颇多,的确,从选题到定稿,从理论到实践,在接近四星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,比如说不懂一些元器件的使用方法,对单片机汇编语言掌握得不好……

通过这次单片机课程设计,我不仅加深了对单片机理论的理解,将理论很好地应用到实际当中去,而且我还学会了如何去培养我们的创新思维,从而不断地战胜自己,超越自己。创新可以是在原有的基础上进行改进,使之功能不断完善,成为真己的东西。这个设计过程中,我们通过合作,成功完成了此次实习要求,我们不只在乎这一结果,更加在乎的,是这个过程。这个过程中,我们花费了大量的时间和精力,更重要的是,我们在学会创新的基础上,同时还懂得合作精神的重要性,学会了与他人合作。

参考文献:

[1]何立名.单片机高级教程.北京:北京航空航天大学出版社,1999

[2]张俊谟.单片机中级教程. 北京:北京航空航天大学出版社,1999

[3]李朝青.单片机原理及接口技术(简明修订版),北京:北京航空航天出版社,1999

[4]张迎新,等.单片机中级教程.北京:北京航空航天大学出版社,1999

[5]李群芳,等.单片微型计算机与接口技术.北京:电子工业出版社,2001

单片机节日彩灯控制器设计

邯郸学院课程设计报告 课程名称单片机 题目节日彩灯控制器的设计 学生 学生 学生 学生 指导教师 年级 专业 二级学院 邯郸学院 2015年 1 月 5 日

邯郸学院《单片机》课程设计任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以AT89C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。按K5—从亮到暗到亮循环。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

目录 摘要 (3) 一、概述 (5) 二、任务要求 (5) 三、硬件电路设计与分析 (5) 1.AT89C51单片机 (5) 1.1 AT89C51结构 (5) 1.2 AT89S51引脚描述 (6) 2.键盘设计 (8) 3.定时器与复位模块 (8) 3.1时钟电路 (8) 3.2复位电路 (9) 四、软件设计与论证 (10) 五、功能仿真实现 (11) 七、心得体会 (14) 八、参考文献 (15) 附录 (15)

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

单片机设计节日彩灯控制器课程设计报告

单片机课程设计报告

用单片机设计节日彩灯控制器 一、设计任务与要求 本实验中采用汇编语言和89C51单片机实现几日彩灯控制器,在Keil uVision软件环境中编辑和调试节日彩灯程序,并在Proteus软件环境下仿真节日彩灯的硬件电路。可编程节日彩灯系统采用8个LED灯来模拟彩灯的显示,设计要求8个LED灯能以多种不同的方式变换的亮灭,以达到节日彩灯的效果。 本实验中彩灯具体的变换方式采用以下四种方式: 方式一:启动彩灯运行,按下与89C51单片机P1.2相连接的按钮,则彩灯按照由上而下的顺序依次点亮。 方式二:停止彩灯运行,按下与89C51单片机P1.3相连接的按钮,则彩灯全部熄灭。 方式三:向下依次点亮彩灯,按下与89C51单片机P1.4相连接的按钮,则彩灯按照由上向下的顺序依次点亮。 方式四:向上依次点亮彩灯,按下与89C51单片机P1.5相连接的按钮,则彩灯按照由下向上的顺序依次点亮。 二、设计方案 以80C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。按键可以在彩灯使用的时候选择不同的亮法,使彩灯流动的方向改变,键一可以使彩灯由上而下开始流动,键二可以使彩灯停止,三号键可以使彩灯由上而下流动,四键则可以使彩灯由下而上流动。通过按键能方便使用者选择节日彩灯的开启、流动方向和停止。系统框图如下: 三、单片机设计节日彩灯控制器的硬件电路设计

单片机设计过程中用到的管脚说明: 1、VCC(40):供电电压。 2、GND(20):接地。 3、P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口, P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 4、P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口 缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1” 时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 5、P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O 端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口也可作为AT89C51的一些特殊功能口,其中P3.3可作为外部中断1输入。 6、XTAL1(19):反向振荡放大器的输入及内部时钟工作电路的输入。 7、XTAL2(18):来自反向振荡器的输出。 8、EA(31):外部程序存储器访问允许控制端。 打开PROTEUS的ISIS编辑环境,从PROTEUS中选取该电路所需要的元器件,放置元器件、放置电源和地、连线得到下图所示的电路原理图,再点菜单栏工具下拉的电气规则检查,当规则检查出现:“NETLIST GENERATED OK NO ERC ERRORD FOUND”,表示通过检查。电路设计完成。

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

简易彩灯控制电路课程设计报告

目录摘要1 1 设计要求1 1.1设计课题1 1.2主要容1 1.3技术要求1 2 系统组成及工作原理2 2.1系统组成框图2 2.2工作原理分析3 3 电路方案设计3 3.1电路图设计3 4 单元电路设计4 4.1时钟脉冲产生电路4 4.2分频电路的工作原理6 4.3状态机电路7 4.4移位输出电路8 4.5桥式整流电路9 4.6发光二级管9 结束语10 致11 参考文献12

附录13 附录1:元器件清单13 附录2:输出状态编码13 附录3:元件引脚图14 附录4:元件功能表15 附录5:总设计图17

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双D 触发器组成; (4)移位显示电路,由双向移位寄存器74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

1 设计要求 1.1设计课题 题目:简易彩灯控制器电路 1.2主要容 1.2.1 阅读相关文献。 1.2.2 学习电子制图软件的使用。 1.2.3 学会整理和总结设计文档报告。 1.2.4 学会如何查找器件手册及相关参数。 1.3 技术要求 1.3.1要求电路能够控制8个以上的彩灯。 1.3.2要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

彩灯控制电路

电子技术课程设计报告 学院: 专业班级: 学生姓名: 指导教师: 完成时间: 成绩:

彩灯控制电路设计报告 一.设计要求 (1).利用所学的电子技术知识搭建彩灯控制电路,实现16个彩灯依次循环闪烁。 (2).在搭建电路之前要先用Multisim画好电路原理图,因此要对Multisim软件熟悉,了解他的用途,能够独立完成电路的设计,学会分析电路故障,对元器件认识透彻,清楚各个芯片的结构 及用途。 (3).电路仿真后,能够根据自己设计的原理图搭建电路,并且调试成功。 二.设计的作用、目的 (1).通过电子技术的课程设计使学生能够对电子技术及应用有进一步的理解,同时也巩固了所学的模电与数电知识,使所学的电子技术应用于实际,贴近生活,走向社会,增加学习的动力。(2)掌握电子电路安装和调试的方法及其故障排除方法,学会用面包板对电路进行仿真。 (3).培养学生手动实践,搭建电路的能力,将理论与实际相结合的主要体现,使学生能够在学习理论知识的同时,对电子元器件及电子技术这门技术有更深入的认识。 (4).通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养自己的创新能力和创新思维。

三.设计的具体实现 1.系统概述 此电路由74LS14、74LS161、74LS138 组成。通过这些元件 和计数器的真值表,利用相关的电路实现彩灯循环闪烁功能。 当通电后每来一个脉冲,计数器74LS161 加1,输出1。3-8 译码器所接的发光二极管依此发光。则电路组成及工作原 理:彩灯控制器电原理图如下所示,其中74LS14 为电路提 供非门。

2.单元电路设计(或仿真)与分析(1).计数器:74LS161

相关主题
文本预览
相关文档 最新文档