当前位置:文档之家› 简易彩灯控制器电路课程设计1

简易彩灯控制器电路课程设计1

简易彩灯控制器电路课程设计1
简易彩灯控制器电路课程设计1

目录

摘要 (2)

第一章系统总体方案设计 (3)

第一节系统组成框图 (3)

第二节工作原理 (3)

第二章元器件选择 (6)

第一节元器件清单 (6)

第二节 74LS194 (7)

第三节 74LS161 (8)

第四节 D触发器 (9)

第五节 555时基电路 (11)

第六节发光二极管 (13)

第三章模块介绍 (15)

第一节电源电路 (15)

第二节时钟脉冲产生电路 (15)

第三节分频电路的工作原理 (16)

第四节状态机电路 (17)

第五节移位输出电路 (18)

总结 (19)

参考文献 (20)

附录 (21)

摘要

随着人们生活环境的不断改善和美化,在许多场合可以看到彩灯。在现实生活中,大家都见过霓虹灯,它们闪烁着不同颜色的光,变换这不同的花型,在夜晚很是好看。它是一种很好的照明娱乐工具。而彩灯控制器在我们日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能用到它的原理。本次设计的是简易彩灯控制器电路,采用电子元件制作的一个简易的具有四种变换花型的彩灯,但这是进行复杂设计的基础。

首先要分析设计要求,(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。通过分析问题和初步整体思考,设计如下方案:整体功能的实现需要以下四个模块来实现:它们是:时钟振荡电路,分频电路,状态机电路,移位显示电路。基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。

关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

第一章系统总体方案设计

第一节系统组成框图

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555

定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。

如下图:

图1-1 系统组成框图

第二节工作原理

由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面

作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS 触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A 左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。四种花型如下:

花型一:四个灯为一组,两组均从右向左亮起,再从右向左灭掉。

花型二:四个灯为一组,两组均向中间亮起,再从两边向中间灭掉。

花型三:四个灯为一组,两组均从左向右亮起,再从左向右灭掉。

花型二:四个灯为一组,两组均从中间向两边亮起,再从中间向两边灭掉。

表1-1

图1-2 设计原理图

第二章元器件选择第一节元器件清单

第二节 74LS194

74LS194引脚图如下:

图2-1方框符号

图2-2 拐角符号

集成移位寄存器74194由4个RS触发器及它们的输入控制电路组成。其中两个控制输入端M1、M0的状态组合可以完成4种控制功能,其中左移和右移两项是指串行输入,数据是分别从左移输入端Dsl和右移输入端DsR送入寄存器的。RD为异步清零输入端。

表2-2 74LS194真值表

由上功能表知,第1行表示寄存器异步清零;第2行表示当RD=1,CP=1(或0)时,寄存器处于原来状态;第3行表示为并行输入同步预置数;第4、5行为串行输入左移;第6、7行为串行输入右移;第8行为保持状态。

第三节 74LS161

74LS161的引脚图如下:

图2-3 74LS161引脚图

74LS161功能表如下所示:

表2-3 74LS161功能表

第四节 D触发器

D触发器的引脚如下图:

图2-4是D触发器的逻辑符号,从图2-4可看出CP是上升沿有效,当然,D触发器还有CP下降沿有效的,如图2-4(b)所示。

(a) (b)

图2-4 维持阻塞D触发器逻辑符号

此表为D触发器的特征表,特征表就是Qn将也作为真值表的输入变量,而Qn+1为输出,此时的真值表称为特征表。有特征表可得特征方程:Qn+1=D

状态转换图和时序图

维持阻塞D触发器的状态转换图如图2-5所示,图(a)为状态转换图,图(b)为时序图。

(a)

(b)

图2-5 D触发器的状态转换图和时序图

第五节 555时基电路

-

图2-6 555时基电路的电路结构及引脚图

上图为555时基电路的电路结构和8引脚双列直插式的引脚图,由

图可知555电路由电阻分压器、电压比较器、基本RS触发器、放电管和输出缓冲器5个部分组成。它的各个引脚功能如下:

1脚:GND(或Vss)外接电源负端VSS或接地,一般情况下接地。

8脚:VCC(或VDD)外接电源VCC,双极型时基电路VCC的范围是4.5~16V,CMOS型时基电路VCC的范围为3~18V。一般为5V。

3脚:OUT(或Vo)或输出端。

2脚:TR低触发器。

6脚:TH高触发器。

4脚:R是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:CO(或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01mF电容接地,以防引入干扰。

7脚:D放电端。该端与放电管集电极相连,用做定时器时电容的放电。电阻分压器由三个5K的等值电阻串联而成。电阻分压器为比较器C1、C2提供参考电压,比较器C1的参考电压为2/3Vcc,加在同相输入端的参考电压比较后,其结果作为基本RS触发器R端的输入信号;低电平触发信号加在C2的同相输入端,与反相输入端的参考电压比较后,其结果作为基本RS触发器S端的输入信号。基本RS触发器的输出状态受比较器C1、C2的输出端控制。

在1脚接地,5脚未外接电压,两个比较器C1、C2基准电压分别为2/3Vcc,1/3Vcc的情况下,555时基电路的功能表如下表所示:

表2-5 555时基电路的功能表

第六节发光二极管

它是半导体二极管的一种,可以把电能转化成光能;简写为LED。发光二极管与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴复合,产生自发辐射的荧光。不同的半导体材料中国电子和空穴多处的能量状态不同。当电子和空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。常用的是发红光、绿光或黄光的二极管。

发光二极管的反向击穿电压约5伏。它的正向伏安特性很陡,使用时必须串联限流电阻以控制通过管子的电流。

图2-7 发光二极管

它的基本结构是一块电致发光的半导体材料,置于一个有引线的架子上,然后四周用环氧树脂密封,起到保护内部芯线的作用,所以LED的抗震性能好。

发光二极管的核心部分是由P型半导体和N型半导体组成的晶片,在P型半导体和N型半导体之间有一个过渡层,称为PN结。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结加反向电压,少数载流子难以注入,故不发光。这种利用注入式电致发光原理制作的二极管叫发光二极管,通称LED。当它处于正向工作状态时(即两端加上正向电压),电流从LED阳极流向阴极时,半导体晶体就发出从紫外到红外不同颜色的光线,光的强弱与电流有关。

第三章 模块介绍

第一节 电源电路

Vi

图3-1 电源电路

如图2-2所示,电源电路是由220V 工频电源,RC 滤波电路,变压器,桥式整流电路,NPN 管,稳压管,滤波电容组成。电源电路本可以采用两种方法实现,第一种方法是通过电池供电,但是考虑到需要选择合适电池的指标参数与电路相匹配,故不如利用现成的电网供电更方便。如图7采用220V 电网电源,先经过熔断器以防止电路出现短路对其他元件造成损害。然后与一个RC 滤波电路相连进行第一次滤波。这是考虑到电网电压中实际上含有一定成分的高次谐波,因此先行滤波再用变压器变压。变压后经过桥式整流电路,C5电容滤波后电压相对稳定。此时经过7.5V 稳压管分压,BG4的B4为7.5V ,C 极为12V ,由此可推出BG4导通,E 极为6.8V 。再经过C3、C4的高频及低频滤波,最终给其他电路输出一个6.8V 的直流电源。

第二节 时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲。电路图如图4.1所示:

图3-2 由555定时器构成的多谢振器

接通电源后,电容C5被充电,当2管脚处的电压上升到2Vcc/3时,使Vo为低电平,同时放电三极管T导通,此时电容C5通过R2和T放电,Vc下降。当Vc下降掉Vcc/3时,Vo翻转为高电平。振荡器的振荡频率为1.43/(R1+R2+R2)C5。

第三节分频电路的工作原理

图3-3 74LS161引脚图

74LS161是4位二进制同步加计时器。其中1脚是异步清零端,9脚

是预置控制端,P0,P1,P2,P3是预置数据输入端,RCO是预置数据输入端,7和10脚是计数控制端。(1)异步清零:当1脚接低电平时,不管其他输入的状态如何,计数器直接清零。(2)同步并行预置数;在1脚接高电平的条件下,当9脚接低电平且有时钟脉冲时P0,P1,P2,P3输入端的数据分别被Q0,Q1,Q2,Q3所接收。(3)保持:1和9脚同时接高电平,两个记数使能端有一个接低电平时,不管有无脉冲,记数器都保持原状态不变。(4)记数:当1,7,9,10管脚都接高电平时,计数器处于记数状态。当时钟电路产生16个脉冲时,计数器进位端进1,促使D触发器翻转或截止。

第四节状态机电路

图3-4 状态机电路图

状态机电路由两个D触发器组成。触发器U1A的5脚与触发器的U2A 的3脚连接,从而实现U1A的16分频和U2A的32分频。D触发器为上升沿出发,当脉冲由低电平变为高电平时,D触发器发生翻转。本电路中,假设开始时U1A的5脚为高电平,则U2A的3脚也为高电平,分频电路16拍进位一次,促使触发器U1A发生翻转使5脚变为低电平则U2A 的3脚也变为低电平。当分频电路经过第二个16拍时,再次进位,U1A 的5脚为高电平而此时U2A的2脚也再次变为高电,此过程中U2A经历

了一个上升沿触发,翻转一次,从而实现四种花型的轮流变换。

第五节移位输出电路

图3-5 移位输出电路电路图

集成移位寄存器74194由4个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端,A、B、C、D是并行输入端。如表1所示,他们的状态组合可以完成四种控制功能,其中左移和右移两项是串行输入,数据是分别从左移输入端7引脚和右移输入端2引脚送入寄存器中的。1引脚为异步清零。如图U1B,本电路中要求移位过程中数据不丢失,故采用将移位寄存器的最高位输出端12脚和最低位输入端2引脚连接以及让移位寄存器的最低位输出端15引脚和最高位输入端7引脚连接。,形成环形计数器。从而实现四种花型的循环输出。

表3-1 74194双向移位寄存器控制端的逻辑功能

总结

这一周的课程设计,在老师的指导下,我收获很多很多,不仅加深了对书上及老师所讲的知识的理解,而且还扩展了我的思维能力和创造设计能力。在这次电路的学习和研究中我学到了许多在书上学不到的东西。

我们知道,设计电路关键在于对设计要求的理解分析以及对基本电路相关知识的熟练掌握。设计电路时,将总体的功能分成若干个部分来实现,是简化电路设计思路的很好方法;且搞清各个模块的功能与实现要求操作的具体方法,对电路故障的检查也是很有帮助。

通过这次设计,学到了很多东西,如查找资料,设计比较,Protel 软件制图,整理资料。

另外,从这次课程设计中,我还发现了自己的许多不足,如对课本知识的疏忽和理解不透彻,以前只注重理论知识的学习,认为只要理论知识学好就可以了,但这次课程设计实习后我深深地体会到自己学的东西是多么的少,只学习理论知识是远远不够的,他只是一部分,还要理论联系实际,在实践中运用才是最重要的,同时在实践在加以运用也是我们的目的,所以在以后的学习中,我要更加好好学习基础知识和基本技能,并与实践相结合,为以后的学习工作打好良好的基础。

参考文献

[1]李银华. 电子线路设计指导. 北京航空航天大学出版社,2005,6

[2]康华光. 电子技术基础. 高教出版社,2003

[3]谢自美. 电子线路设计、实验、测试. 华中理工出版社

[4]王澄飞. 电路与数字逻辑设计实践. 东南大学出版社,1999,10

[5]何小艇. 电子系统设计. 浙江大学出版社,2001,10

[6]姚福安. 电子电路设计与实践. 山东科学技术出版社,2001 ,10

[7]编委会. 灯光控制应用电路集萃. 机械工业出版社,1998, 10

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

简易彩灯控制器电路

第一章.系统的方案的设计 1.1课程设计的要求 1. 要求电路能够控制8个以上的彩灯。 2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。 1.2 课程设计的目的 1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。 2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。 3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。培养了我们的综合分析,解决问题的能力。 4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。 5.培养电子设计的兴趣,有助于我们进一步了解数电课程。 1.3设计思路 设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。 1.4 设计框图 图1-4

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 电路系统由四部分组成: 1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟; 2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟; 3)状态机电路由双D触发器74LS74组成; 4)移位显示器由双向移位寄存器74HC194组成。 1.5 工作原理分析 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。 1.6 设计方案 用移位寄存器来控制彩灯的左右移动,用触发器和计数器组成的周期性触发电路,而此电路中的CP脉冲用NE555定时器通过外接电路实现。此种电路的优点就是CP脉冲的频率稳定,彩灯花样变换的效果好,而且实现了自动控制,于预期控制。

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

彩灯控制器电路图大全

彩灯控制器电路图大全 收录时间:2010-04-09 17:08:58 来源:未知作者:pic366 【大中小】点击:639 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-150所示。 电源电路由电阻器Rl-R3、电容器Cl-C3、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC、电阻器R4、电容器C4-C6、控制按钮S、二极管VDl、晶闸管VTl-VL4和彩灯HLl-H帖组成。 音频功率放大电路由晶体管VI、V2、可变电阻器RP、电容器C7和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2、R3、C3滤波后,为IC 提供4.7V直流工作电压。 IC通电工作后,其13-16脚(L1-L4端)输出变化的触发控制信号,通过控制VT1-VT4的工作状态来控制HLl-H饵的闪光效果。IC的4、5脚输出的音频信号经Vl、V2放大后,驱动BL发出音乐声。 S为灯光模式选择按钮,每按动一次S,即可改变一种灯光模式。 元器件选择 Rl选用lW金属膜电阻器;R2和R3选用1/2W金属膜电阻器或碳膜电阻器;R4选用l/4W 碳膜电阻器。 RP选用合成膜可变电阻器。 Cl选用耐压值为630V的CBB电容器;C2-C7均选用耐压值为l6V的铝电解电容器。 VDl选用lN5406型硅整流二极管;VD2选用1N4007型硅整流二极管。

VS选用lW、4.7V的硅稳压二极管。 VTl-VW均选用600V、lA的晶闸管,例如MCRlO0-8等型号。若每路彩灯的功率大于100W,则应选用电流容量大一些的晶闸管。 Vl选用S8050型硅NPN晶体管;V2选用S8550型硅PNP晶体管。 BL选用0.5W、8Ω的电动式扬声器。 S选用小型动合按钮。本例介绍的彩灯控制器,采用SH-818型专用彩灯控制集成电路(内储25首乐曲),能驱动4路彩灯,使之随音乐的节拍闪烁发光,并可变换多种灯光花样。 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-149所示。 电源电路由电阻器Rl、R2、电容器Cl、C2、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC(SH-818)、电阻器R3、二极管VDl、电容器C3、C4、晶闸管VTl-VW、控制按钮Sl、S2和彩灯HLl-HL4组成。 音频功率放大电路由晶体管Vl-V3、电阻器R4-R6、电容器C5、C6和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2滤波后,为lC和音频功率放大电路提供4.5-4.7V直流工作电压。 IC通电工作后,其7脚(音频信号输出端)输出的音频信号经音频功率放大电路放大后,驱动BL奏出乐曲声。IC的10-13脚(Ll-l4端)输出与音频信号同步变化的触发控制信号,通过控制VTl-VT4的工作状态来控制HLl-Hl4的闪光效果。 S1为灯光模式选择按钮,按动一下S1,可变换一种灯光模式;连续按动S1,可使7种 灯光模式循环变换。 S2为音量控制按钮,连续按动S2,可使BL的音量按"高→中→低→无→高……"循环变换。 改变C3和C4的容量,可以改变音质和音色。 元器件选择

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

彩灯控制电路

目录 第一章设计目的- 2 - 第二章功能设计要求- 3 - 第三章设计方案及基本组成和原理- 3 - 第一节彩灯电路的组成框图 ........................... - 4 - 第二节各单元电路的工作原理 ......................... - 5 -一编码发生器.................................... - 5 - 二振荡器........................................ - 6 - 三控制器........................................ - 7 - 四移位寄存器.................................. - 10 - 五时钟信号电路................................. - 12 -第三节总电路原理 .................................. - 13 - 第四章元器件清单- 13 - 第五章设计总结与体会- 14 - 第六章参考文献- 16 - 附录(一).简易彩灯控制电路器总电路图- 17 - 摘要: 绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的简易彩灯控制器是由时钟信号电路,74LS194双向移位寄存器,D触发器等组成。每个模块又由不同的集成电路组成。它是利用几种芯片来控制不同数量而有规律的彩灯的亮灭。主要由555振荡电路产生时钟脉冲信号,同时通过74HC74、双D触发器组成的电路来控制彩灯亮灭的顺序,从而实现彩灯四种花型自动切换。在设计中,我把所学的数字电路和模拟电路的知识进行有机的结合,并采用了软件进行电路的绘画。 [关键词]:时钟信号电路 74LS194双向移位寄存器 D触发器 SN74LS138D。 第一章 设计目的 一熟悉工程实践中电子电路的设计方法和规范,达到综合应用电子技术的目的。二学习文件检索和查找数据手册的能力。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

相关主题
文本预览
相关文档 最新文档