当前位置:文档之家› 基于FPGA的数字滤波器

基于FPGA的数字滤波器

基于FPGA的数字滤波器
基于FPGA的数字滤波器

【摘要】:随着电子技术的发展,数字滤波器以其良好的特性被广泛地应用在各个领域。它属于数字信号处理的基本模块之一。在工程实践中,对信号处理的灵活性和实时性要求很高,而现有的一些软件和硬件设计方式难以同时达到这两方面的要求。随着可编程逻辑器件和EDA技术的发展,利用FPGA来实现数字滤波器,可以同时兼顾系统的灵活性和实时性,越来越多的电子工程师采用FPGA器件来实现滤波器。然而当滤波器的滤波参数改变时,还是需要硬件工程师进行重新编写代码,这造成了很大的设计资源浪费。针对这一问题,本文研究了基于FPGA平台的各种数字滤波器的设计算法,通过对这些算法的研究得出一个以各种算法实现的滤波器代码库,并基于这个代码库开发出能够自动生成滤波器硬件代码的软件系统,从而杜绝了因设计参数改变而导致的设计浪费,大大缩短了滤波器设计周期。

本论文所做的工作如下:

【关键词】:数字滤波器分布式算法可重构自动生成系统

Abstract

Digital signal processing techniques can be divided into the digital filter and FFT of two blocks of many electronic systems using FPGA implementation of digital filters, the filter is to filter the signal of a frequency component of signal filtering handling of the device is equivalent to the corresponding multiplying the frequency of the signal spectrum with filter results from the time domain signal and filter impulse response convolution phase digital filter input and output are digital signals, the signal through a digital filter, you can change the frequency components of the relative proportions of ingredients to filter out certain frequencies. The digital filter IIR digital filter and FIR digital filters can be divided into two categories.

This project using a FPGA-based implementation which only allows high frequency allows only low-frequency components to pass through the inhibition of other band high-pass IIR digital filter and a curb the other band low-pass FIR digital filter design program, the first principle and design method of FIR and IIR digital filter, and then through the Quartus II design platform, the use of two filters using VHDL to describe top-down modular design, simulation and synthesis. Last downloaded the FPGA chip to experiment.

KEY WORDS : FIR, IIR, FPGA, QuartusⅡ

第一章数字滤波器

1.1数字滤波器的概述

所谓数字滤波器,是指输入输出均为数字信号,通过一定的运算关系,改变输入信号中所含频率成分的相对比例,或则滤除某些频率成分的器件。数字滤波器具有稳定性高、精度

高、灵活性大等突出优点。对于数字滤波器而言,若系统函数为H(z),其脉冲响应为h(n),输入时间序列为x(n),则它们在时域内的关系式如下:

()()()y n h n x n =* (1-1)

在Z 域内,输入和输出存在如下关系:

()()()Y z H z X z = (1-2) 式中, X(z)、Y(z)分别为x(n)和y(n)的Z 变换。 在频域内,输入和输出则存在如下关系:

()()()Y j H j X j ωωω= (1-3)

式中,()H j ω是数字滤波器的频率特性;()X j ω、()Y j ω分别为x(n)和y(n)的频谱,而

ω为数字角频率。

1.2数字滤波器的分类

数字滤波器可以有很多种分类方法,但总体上可分为两大类: 一类称为经典滤波器,即一般的滤波器,其特点是输入信号中的有用成分和希望滤除的成分占用不同的频带,通过合适的选频滤波器可以实现滤波。例如,若输入信号中有干扰,信号和干扰的频带互不重叠,则可滤出信号中的干扰得到纯信号。但是,如果输入信号中信号和干扰的频带相互重叠,则干扰就不能被有效的滤除。

另一类称为现代滤波器,如维纳滤波器、卡尔曼滤波器等,其输入信号中有用信号和希望滤除的频带成分重叠。

对于经典滤波器,从频域上可以分为:低通、高通、带通和带阻滤波器。

从时域特性上可分为:有限脉冲响应(FIR)数字滤波器和无限脉冲响

应(IIR )数字滤波器

.

对于有限脉冲响应(FIR )数字滤波器,其输出y(n)只取决于有限个过去和现在的输入,x(n),x(n-1),…,x(n -m),滤波器的输入输出关系可表示为: 0

()()M

r r y n b x n r ==

-∑ (1-4)

对于无限脉冲响应(IIR )数字滤波器,它的输出不仅取决于过去和现在的输入,而且还取决于过去的输出,其差分方程为: 1

()()()N M

k

r

k r y n a y n k b x n r ==+

-=-∑∑ (1-5)

该差分方程的单位冲激响应是无限延续的。 1.3数字滤波器设计指标

设数字滤波器的传输函数用下式表示:

)()()(ωφωω

j j j e e H e H = (1-6)

式中,|H(e

ω

j )|为幅频特性,)(ω?为相频特性。幅频特性表示信号通过滤波器后各

频率成分的衰减情况,相频特性则反映各频率成分通过滤波器后在时间上的延时情况。通常,选频滤波器的指标要求都以幅频特性给出,对相频特性不作要求,如果需要对输出波形有严

格要求,如语音合成、波形传输等,则要求设计线性相位数字滤波器。

数字滤波器的参数指标是p ω、s ω、p α和s α。p ω和s ω分别称为通带截止频率和阻带截止频率。通带和阻带内允许的衰减一般用分贝数表示,通带内允许的最大衰减用p α表示,阻带内允许的最小衰减用s α表示,p α和s ω分别定义为

0()20lg

20lg ()

()

p p j j p j H e H e H e ω

ω

α==- dB (1-7)

0()20lg

20lg ()()

s s j j s j H e H e H e ωωα==- dB (1-8)

式中均假定0

()j H e 已被归一化为1

第二章 FIR 数字滤波器设计基础 2.1 FIR 数字滤波器的特点

FIR 滤波器在保证幅度特性的同时,很容易做到严格的线性相位特性。在数字滤波器中,FIR 滤波器的最主要特点是没有反馈回路,故不存在不稳定的问题;同时,在幅度特性可以任意设置的同时,保证了精确的线性相位。稳定和线性相位是FIR 滤波器的突出优点。另外还有以下特点:设计方式是线性的;硬件容易实现;滤波器过渡过程具有有限区间;相对IIR 滤波器而言,阶次较高,其延迟也要比同样性能的IIR 滤波器大得多。 2.2 FIR 数字滤波器的线性相位条件:

设滤波器单位脉冲响应的长度为N ,系统函数为 1

()

()N n n H z h n z --==∑ (2-1)

由此式可见,H(z)是1

-z 的(N-1)次多项式,它在Z 平面上有(N-1)个零点,原点z=0是(N-1)阶重极点,位于r =1的单位圆内,系统永远稳定。稳定性和线性相位特性是FIR 滤波器的突出优点。

FIR 滤波器的设计任务是选择有线长度的h(n),使传输函数H(e ω

j )满足要求。

线性相位条件:

对于长度为N 的h(n),传输函数为

1

0()()N jw

j n

n H e h n e ω--==∑

(2-2)

()()()jw

j w g H e

H e θω-= (2-3)

式中,)(ωg H 称为幅度特性,)(ωθ称为相位特性。线性相位是指相位函数)(ωθ满足如下

特性:()w w θτ=-或0()w θωθτ=-, 0θ是起始相位,τ为常数,一般称第一种情况为第一类线性相位,称第二种情况为第二类线性相位。

满足第一类线性相位的充要条件是:h(n)为实序列,并且对(N-1)/2偶对称,即

()(1)h n h N n =--;满足第二类线性相位的充要条件是:h(n)为实序列,并且对(N-1)/2

奇对称,即()(1)h n h N n =---。

2.3 FIR 数字滤波器的基本结构

FIR 滤波器的基本结构有以下几种:直接型、级联型、线性相位型、频率采样型。 1. 直接型

设FIR 滤波器的单位冲击响应h(n)为一个长度为N 的序列,则滤波器系统函数为:

1

0()()N n

n H z h n z --==∑

(2-4) 表示这一系统输入输出关系的差分方程为 1

()()()N m y n h m x n m -==

-∑ (2-5)

直接由差分方程可得出对应的网络结构如图2-1所示:

1

-1

-1

-

图2-1 FIR 滤波器的直接型结构

直接型结构的优点:简单直观,乘法运算量较少。 缺点:调整零点较难。 2.级联型

当需要控制滤波器的传输零点时,可将H(z)分解为实系数二阶因子的乘积形式: /2

120121

()()N k

k k k H z z z β

ββ--==

++∏ (2-6)

式中,()H z 为()h n 的z 变换,0k β,1k β,2k β为实数。级联型结构如图2-2所示:

ββ02N β????

图2-2 FIR 滤波器的级联型结构

该结构的优点:调整零点比直接型方便。

缺点:()H z 中的系数比直接型多,因而需要的乘法器多。当()H z 的阶次高时,也不易分解。

3.线性相位型结构

FIR 滤波器的线性相位结构有偶对称和奇对称,不论)(n h 为偶对称还是奇对称都有: 当N 为偶数时,系统函数为:

[]∑-=----±=

120

)1()()(N n n N n z z n h z H (2-7)

当N 为奇数时,系统函数为

[]

???

??---=----??? ??-+±=

∑2112

)

1(21)()(N N

n n N n z

N h z z n h z H (2-8) 对这两种情况,都可以用FIR 直接型实现,其信号流图如图2-3所示。

x(n)

y(n)

12H h ??

- ???

1

-

(a)N 为偶数

x(n)

y(n)

1???

1

-1

-1

-

(b)N 为奇数

图2-3 线性相位型结构

这种结构在本质上是直接型,但乘法次数比直接型省了一半。

4.频率采样型

频率采样型结构是一种用系数将滤波器参数化的一种实现结构。一个有限长序列可以由相同长度频域采样值惟一确定。

系统函数在单位圆上作N 等分取样就是单位取样相应h(n)的离散傅里叶变换()H k 。

()H k 与系统函数之间的关系可用内插公式表示: 1()

1()()(1)1N k N

H k H z z N W Z

---=-- (2-9)

式中: ()1N c H z z -=- 1

()

()1k k N H k H z W Z

--=

- 这样,()H z 是由梳状滤波器()c H z 和N 个一阶网络()k H z 的并联结构进行级联而成的,其网络结构(信号流图)如图2-3所示。()c H z 是一个梳妆网络,其零点为

2exp()k

N W jk

N

π

-=, k= 0, 1,2…,N-1 刚好和极点一样,等间隔地分布在单位圆上。理论上,极点和零点相互抵消,保证了网络的稳定性。

图2-5 FIR 滤波器的频率采样结构

频率采样结构的优点: 1)在频率采样点k ω,()()j k

H e

H k ω=,只要调整()H k 就可以有效地调整频响特性。

2)只要()h n 长度N 相同,对于任何频响,其梳状滤波器部分和N 个一阶网络部分完全相同,只是各支路增益()H k 不同。相同部分便于标准化、模块化。

缺点:

1)寄存器长度都是有限的,零、级点可能不能正好抵消,造成系统不稳定。2)当N 很大时,其结构很复杂,需要的乘法器和延时单元很多。

第三张FIR数字滤波器设计

FIR数字滤波器的设计方法有直接实现法、直接实现形式改进法和查找表LUT法。第四章 IIR数字滤波器设计基础

4.1 IIR数字滤波器的特点

IIR滤波器有以下几个特点:

4.1、封闭函数IIR数字滤波器的系统函数可以写成封闭函数的形式。

4.2、IIR数字滤波器采用递归型结构IIR数字滤波器采用递归型结构,即结构上带有反馈环路。IIR滤波器运算结构通常由延时、乘以系数和相加等基本运算组成,可以组合成直接型、正准型、级联型、并联型四种结构形式,都具有反馈回路。由于运算中的舍入处理,使误差不断累积,有时会产生微弱的寄生振荡。

4.3、借助成熟的模拟滤波器的成果IIR数字滤波器在设计上可以借助成熟的模拟滤波器的成果,如巴特沃斯、契比雪夫和椭圆滤波器等,有现成的设计数据或图表可查,其设计工作量比较小,对计算工具的要求不高。在设计一个IIR数字滤波器时,我们根据指标先写出模拟滤波器的公式,然后通过一定的变换,将模拟滤波器的公式转换成数字滤波器的公式。

4.4、需加相位校准网络IIR数字滤波器的相位特性不好控制,对相位要求较高时,需加相位校准网络。

基于FPGA的FIR数字滤波器设计

1、F PGA技术简介 现场可编程门阵列FPGA是80年代末开始使用的大规模可编程数字IC器件,它充分利用EDA技术进行器件的开发与应用。用户借助于计算机不仅能自行设计自己的专用集成电路芯片,还可在计算机上进行功能仿真和时序仿真,及时发现问题,调整电路,改进设计方案。这样,设计者不必动手搭接电路、调试验证,只需短时间内在计算机上操作即可设计出与实际系统相差无几的理想电路。而且,FPGA器件采用标准化结构,体积小、集成度高、功耗低、速度快,可无限次反复编程,因此成为科研产品开发及其小型化的首选器件,其应用极为广泛。 3.1 FPGA工作原理 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。 3.2 FIR滤波器特点 1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。同时,FPGA还存在以下五大优势。 1)性能:利用硬件并行的优势,FPGA打破了顺序执行的模式,在每个时钟周 期内完成更多的处理任务,超越了数字信号处理器(DSP)的运算能力。著名 的分析与基准测试公司BDTI,发布基准表明在某些应用方面,FPGA每美元的 处理能力是DSP解决方案的多倍。2在硬件层面控制输入和输出(I/ O)为满足应用需求提供了更快速的响应时间和专业化的功能。 2)上市时间:尽管上市的限制条件越来越多,FPGA技术仍提供了灵活性和快 速原型的能力。用户可以测试一个想法或概念,并在硬件中完成验证,而无需

基于FPGA的滤波器的设计

摘要 自适应滤波器是统计信号处理的一个重要组成部分。在现代滤波处理技术中,自适应滤波器的处理效果尤为突出。在众多滤波器中,特别是在一些对信号处理的实时性要求比较高,体积功耗有严格限制的场合,使用FPGA硬件实现的数字滤波器更为广泛。 本论文从自适应滤波器研究的重要意义入手,介绍了线性自适应滤波器的算法,对几种基于最小均方误差准则或最小平方误差准则的自适应滤波器算法进行研究,就滤波器的基本原理及设计方法做了简单的介绍,最终设计基于FPGA的LMS算法设计复数自适应滤波器,对设计方法进行叙述,并以VHDL语言编写程序进行仿真测试。 关键词:自适应滤波器;FPGA;自适应算法LMS;有限冲激响应滤波器

FPGA-based design of adaptive filter Student:TAN xx Teacher:CHEN xx Abstract:Adaptive filter is a statistical signal processing as an important component. Processing technology in the modern filter, the adaptive filter, particularly in the treatment effect. Among the filters, especially in some of the real-time signal processing requirements of higher power, there are strict restrictions on the size of the occasion, the use of FPGA hardware to achieve a wider range of digital filters. In this paper, adaptive filter from the importance of research to start to introduce the linear adaptive filter algorithm, based on several criteria MMSE or least square error criteria for the study of adaptive filter algorithm, it filters The basic principle and design method of a brief introduction, the final design of FPGA-based design of complex LMS adaptive filter algorithm, the design methods described, and VHDL languages in maxplus simulation test platform. Keywords: adaptive filter;FPGA;LMS adaptive algorithm;finite impulse response filter

基于FPGA的数字滤波器的设计

基于FPGA的数字滤波器的设计Graduation Design(Thesis) of Chongqing University Design of Digital Filter Based on FPGA Undergraduate: Huang Jianhua Supervisor: Yang Lisheng Major:ElectronicInformation Engineering

College of Communication Engineering Chongqing University June 2013

摘要 数字信号处理在通信、雷达、声纳等中有着广泛的应用。数字滤波器的设计是数字信号处理的关键技术之一,有着十分重要的理论和实际意义。随着数字技术的不断发展,在许多场合,数字滤波器正在快速取代模拟滤波器。FPGA(现场可编程门阵列)在现代数字电路设计中发挥着越来越重要的作用。从设计简单的接口电路到设计复杂的状态机,FPGA所扮演的角色已经不容忽视。 本论文完成了基于FPGA的FIR和IIR数字滤波器的设计与实现。本论文首先理论分析讨论了数字滤波器的设计方法,并使用MATLAB工具验证采用哪种窗函数来设计FIR数字滤波器,使用哪种模拟滤波器原型映射IIR数字滤波器。然后根据模拟滤波器的技术指标来确定数字滤波器的技术指标,在MATLAB环境下按照数字滤波器的技术指标设计数字滤波器,并得到滤波器系数,编程实现系数量化,并且比较分析量化前后系统响应的差异,由此得到合适的量化等级。然后在ISE软件平台下根据MATLAB工具得到的量化系数,使用VHDL语言进行FIR和IIR滤波器算法模块编程,同时对AMP电路(可编程预放大器)模块、AD电路(模拟到数字转换器)模块和DA电路(数字到模拟转换器)模块分别进行编程配置,并且对各模块进行严格的软件仿真验证,其中AMP电路模块、AD电路模块和DA电路模块必须进行硬件验证。最后将所有软件和硬件验证无误的模块整合,下载到FPGA硬件中,进行功能验证。验证结果符合设计要求。 关键词:FIR滤波器,IIR滤波器,MATLAB,FPGA,VHDL

泰克TDS220示波器使用指导书-B

泰克TDS220示波器使用指导书 华为技术有限公司 版权所有侵权必究

修订记录

目录 1现以测漂移产生为例说明示波器使用基本操作规范及步骤: (5) 2抖动产生测试操作步骤: (7) 3相位瞬变测试操作步骤: (7)

关键词: 泰克TDS220示波器 摘要: TDS 220,该产品具有100MHz带宽,采样速率为1GS/s,2500点记录长 度,为双通道数字实时示波器(超取样率至少为10倍),有光标读数功能、 波形持续显示功能,示波器操作温度0℃~50℃,能够满足SYNLOCK对漂 移产生、抖动产生、相位瞬变的测试需要。本文主要介绍了它的使用方法。缩略语清单: 无。 参考资料清单 无。

泰克TDS220示波器使用指导书 我公司现在提供给新产品工程部工程师使用的示波器为美国Tektronix公司 产品TDS 220,该产品具有100MHz带宽,采样速率为1GS/s,2500点记 录长度,为双通道数字实时示波器(超取样率至少为10倍),有光标读数 功能、波形持续显示功能,示波器操作温度0℃~50℃,能够满足SYNLOCK 对漂移产生、抖动产生、相位瞬变的测试需要。 示波器控制面板上有如下功能区: 右上角3个键:分别执行AUTOSET、HARDCOPY、RUN/STOP功能; MENUS区:该区6个键负责示波器主功能菜单选择; 菜单子项选择区:该区5个键负责显示屏上某一主菜单各功能子项选择;由 控制面板最左面一排按键控制; 通道垂直位置及分辨率调节区:通道1、通道2垂直位置与分辨率由 VERTICAL区各键及旋钮选择调节; 通道水平位置及分辨率调节区:HORIZONAL区负责调整水平位置及水平分 辨率; TRIGGER区:一个旋钮及4个按键负责对触发作调整。 1 现以测漂移产生为例说明示波器使用基本操作规范及步骤: 1) 为了防止电击,示波器一定要用三脚插座,以保证可靠接入大地; 2) 为使观察到的波形客观、准确,在某一环境第一次测试前应对示波器进 行自校正:按MENUS框中的UTILITY钮,选择自校正项既可(一定将所有 探棒或导线从通道CH1、CH2 及EXT TRIG断开;如果环境温度变化范围 达到或超过5℃时,您必须执行此项操作); 3) 示波器在规定操作温度(0℃~50℃)下持续运行10分钟后,进入稳定 工作状态,既需预热10分钟; 4)将TOG板输出的2.048MHz信号与示波器CH1相连,铷钟自由振荡的 2.048MHz输出与示波器CH2相连; 5)按AUTOSET键; 6)按TRIGGER MENU按钮,将“信源”设置成“CH2”,如波形不稳定, 调节TRIGGER LEVEL旋钮,应使示波器屏幕右方“←”符号位于所选触发源 波形最大与最小值范围内,使波形稳定(示波器上方“↓”表示水平触发位

基于FPGA的FIR滤波器设计与实现

目录 引言 (4) 第一章FPGA的设计流程 (5) 1.1 FPGA概述 (5) 1.2 FPGA设计流程 (9) 1.3硬件描述语言HDL(Hardware Description Language) (10) 1.4 FPGA开发工具Quartus Ⅱ软件设计流程 (13) 第二章有限冲激响应(FIR)滤波器的原理及设计 (16) 2.1数字信号处理基础原理 (16) 2.2 FIR滤波器背影知识 (19) 2.3 FIR数字滤波器原理 (21) 2.4 利用窗函数法设计FIR滤波器 (26) 第三章FIR 数字滤波器的FPGA实现 (31) 3.1串行FIR滤波器原理 (31) 3.2分布式算法基础 (32) 3.3直接型FIR滤波器的原理结构图 (34) 3.4具有转置结构的FIR滤波器 (36) 第四章结论与总结 (40) 谢辞 (42) 参考文献 (43)

摘要:本论文课题是《基于FPGA的FIR滤波器设计与实现》。数字滤波器是语音与图象处理、模式识别、雷达信号处理、频谱分析等应用中的一种基本的处理部件,它能满足滤波器对幅度和线性相位的严格要求,避免模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。有限冲激响应(FIR)滤波器能在设计任意幅频特性的同时保证严格的线性相位特性。因此在许多应用领域都显示了强大的生命力,具有重要应用意义。本文介绍了用VHDL实现线性相位FIR(有限长单位冲激响应)滤波器。提出了一种基于FPGA的FIR滤波器设计方案。介绍了基于FPGA的FIR滤波器的数字信号处理的算法设计,采用直接型和转置型的基本结构来设计,其运算效率明显提高,并结合先进的EDA软件进行高效的设计和实现,并给出了用Quartus Ⅱ运行的仿真结果。该设计对FPGA硬件资源的利用高效合理,用VHDL编程,在PFGA中实现了高采样率的FIR滤波器。关键字:FIR滤波器;FPGA;VHDL;MATLAB;Quartus Ⅱ

基于FPGA的数字滤波器

学士学位论文论文题目: 基于FPGA的数字滤波器设计 院 (部)名称:电气信息工程学院 学生姓名: 专业:测控技术与仪器学号: 指导教师姓名:

摘要 本论文设计了一个基于FPGA(现场可编程逻辑门阵列)FIR(有限脉冲响应)31阶低通滤波器,通带截止频率为0.1MHz,通带波纹最大1dB,阻带最小衰减-50dB,数据的输入输出宽度为8位,采样频率5MHz。 滤波器分为模拟滤波器和数字滤波器,在信号系统邻域中,一般除了A/D 转换前期的滤波和D/A转换后的滤波使用模拟滤波器,基本上其他的滤波一般优先考虑数字滤波器。数字滤波器与模拟滤波器相比有许多的优点:频域易控制,性能指标好;容易实现线性相位的系统,而且稳定,基本上不受外界影响;可重用性和灵活性比较高,只需编程就可以修改其特性,设计周期短。 数字滤波是推动数字信号处理与相关芯片快速发展的一个要素。本论文的FPGA芯片选用Altera公司Cyclone2系列的EP2C8Q208C8N芯片,模数转换使用ADC9280,数模转换使用DAC9708,来实现数字滤波器,FPGA与ASIC、DSP相比,具有明显的优势,在功耗,体积,成本都优于ASIC、DSP,并且处理效率高,可编程性好,并行处理能力非常强,能完成DSP很难完成的许多功能。 本论文首先简单的介绍数字滤波器、FIR滤波器、FIR的窗口函数选择以及FPGA分布式算法的实现,并对系统硬件各功能模块进行了说明;然后根据系统总体设计的要求指标,使用MATLAB来设计确定系数,因为FPGA不能表示浮点数,所以对系数进行了量化,使用verilog HDL硬件语言编程,Modelsim进行功能仿真;最后使用实物来验证结果,并达到预期的目的。 关键词:FPGA,FIR滤波器,MATLAB,分布式算法

基于FPGA的数字低通滤波器

泉州师范学院 毕业论文(设计) 题目基于FPGA的数字低通滤波器 物理与信息工程学院电子信息科学与技术专业07级学生姓名周志凯学号070303025 指导教师吴志伟职称讲师 完成日期2011年4月 教务处制

基于FPGA的数字低通滤波器 物理信息工程学院电子信息科学与技术专业 070303025 周志凯 指导老师:吴志伟讲师 【摘要】:低通滤波器是让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。数字滤波器能够满足对于相位特性跟幅度的严格要求,能够改善模拟滤波器无法解决的电压和温度漂移还有噪声等问题。利用FPGA,在QUARTUSⅡ平台上使用VHDL银剑描述语言改变滤波器的系数和阶数,通过结合MATLAB工具软件的辅助设计,使低通滤波器具有快速、灵活硬件资源损耗少。 【关键词】:FPGA;MATLAB;数字滤波器;QUARTUSⅡ

目录 1引言 (4) 2 EDA技术的主要内容 (4) 2.1大规模可编程器件 (4) 2.2硬件描述语言 (4) 2.3软件开发工具 (4) 2.4实验开发系统 (5) 3 FPGA简介 (5) 4 MATLAB简介 (5) 5 数字滤波器的工作原理 (6) 6低通滤波器的基本特性 (6) 7用MATLTAB设计低通滤波器 (7) 7.1在MATLAB中进行仿真设计 (7) 7.2运用QuatusⅡ检验滤波效果 (9) 7.3结果记录 (12) 8结束语 (12) 致谢 (13) 参考文献: (13) 附录: (15)

1引言 随着现在科学技术的高速发展,高精度集成电路的使用,生产力有了大幅度的发展,快捷的EDA工具,使用集成化设计环境,需要更快的速度来开发出质量一流性能优良的电子产品,这对于EDA技术有了更高的要求了。未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷电路板设计及开发操作平台等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展[1]。 本次设计的基于FPGA的数字低通滤波器是围绕EP2C8Q208C8芯片添加输入和输出电路而成的。用户通过QuartusⅡ进行编程、仿真、下载到芯片实现相应的功能,简单方便,具有很高的实用价值。 2 EDA技术的主要内容 EDA (Electronic Design Automation)是指利用计算机完成电子系统的设计,以计算机和微电子技术为先导,汇集了计算机图形学、逻辑学、微电子工艺和结构学以及计算数学等多种计算机应用学科最新成果的先进技术。 EDA技术一般包括以下四个方面:1.大规模可编程逻辑器件;2硬件描述语言;3软件开发工具;4实验开发系统。 2.1大规模可编程器件 可编程逻辑器件(PLD)是一种由用户变成以实现某种逻辑功能的新型逻辑器件。FPGA 和CPLD器件的应用广泛,随着EDA技术的发展成为电子设计领域的重要角色。FPGA包括可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分;而CPLD则包括可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线。他们的最明显特点是高集成度、高速度和高可靠性[2]。 2.2硬件描述语言 VHDL:在电子科技工程领域里,作为IEEE的工业标准硬件描述语言,已成为通用的硬件描述语言。 Verilog:比较使用RTL级和门电路级的描述,综合过程比VHDL简单一点,在高级描述语言方面没有VHDL好。 2.3软件开发工具 当前比较流行的EDA软件工具有Altera公司的quartusⅡ、Lattice 公司的ispexpert、Xilinx公司的foundation Series。本次设计所用的软件是quartusⅡ。 Quartus II:支持原理图、VHDL和Verilog 语言文本文件以及波形与EDIF等格式的文件作为设计输入,并支持这些文件的任意形式混合设计。它具有门级仿真器,可以进行功能

基于FPGA的FIR滤波器设计

长春理工大学毕业设计 摘要 在现代电子系统中,FIR数字滤波器以其良好的线性特性被广泛使用, 随着可编程逻辑器件和EDA技术的发展,使用FPGA来实现FIR滤波器,既具有实时性,又兼顾了一定的灵活性,越来越多的电子工程师采用FPGA器件来实现FIR 滤波器。 本论文对基于FPGA的FIR数字滤波器实现进行了研究,以FIR数字滤波器的基本理论为依据,并且采用查找表以及线性FIR波器的对称性特点使得硬件规模极大的减小。为了验证仿真结果的正确性,文中应用了MATLAB和VHDL联合仿真方法对设计的电路进行仿真测试,结果达到设计指标。并用MATLAB对仿真结果进行了分析,证明了所设计的FIR数字滤波器功能正确。 关键词: 有限脉冲响应现场可编程门阵列查找表窗函数仿真 ABSTRACT In the modern electrical system, the FIR digital filter is used for many practical applications for its good linear phase character, Along with the development of PLD device and EDA technology, more and more electrical engineers use FPGA to implement FlR filter, as it not only meet the real-time requirement, but also has some flexibility. In this paper, a method to implement the FIR filter using FPGA is proposed. According to the basic theory of FIR filters, the thesis reduces it with the use of multiple coefficient memory banks and the symmetry characteristic of linear FIR filter. In order to make the verification more available, the complex simulation with Matlab and VHDL is used to testify the design whether fulfills the requirement. And also the result of the simulation is analyzed with the use of MATLAB, and it proved that the function of the design is correct. KEYWORDS: FIR FPGA Windows Function Simulation

基于FPGA的数字滤波器的设计与实现

文章编号:1002-8684(2008)05-0023-03 基于FPGA的数字滤波器的设计与实现 陆宇鹏,邱宏安,田多华,邵立群 (西北工业大学航海学院,陕西西安710072) 【摘要】提出了一种基于FPGA的FIR线性相位滤波器设计方案,充分利用FPGA四输入查找表LUT结构构成向量乘法器,给出了对应的VHDL源程序及仿真结果,并讨论了设计误差原因及改进措施。与普通滤波器相比,基于查找表的FIR滤波器具有速度快、占用资源少的特点。采用流水线技术对加法运算进行处理,速度进一步提高。 【关键词】FPGA;FIR滤波器;VHDL;四输入查找表 【中图分类号】TN713【文献标识码】A DesignofFIRFilterBasedonFPGA LUYu-peng,QIUHong-an,TIANDuo-hua,SHAOLi-qun (CollegeofMarine,NorthwestPolytechnicalUniversity,Xi′an710072,China)【Abstract】ThedesignschemeofFIRlinearityphasicdigitalfilterbasedonFPGAisintroduced.TheFPGAfourimportLUTconfigurationisusedtomakeupofvectormultiplication,andtheVHDLsourceprogramandsimulatingresultsaregiven.Thedesignerrorcauseandimprovingmeasurearediscussed.Comparedwithcommonfilter,thefilterbasedLUThastheadvantagesofquickspeedandlessresource.Theproductionlinetechnologyisemployedtocarryouttreatmentonadditionarithmetic,andtoimprovespeed. 【Keywords】FPGA;FIRfilter;VHDL;LUT ?实用设计? 1指标的确定和滤波器系数的提取根据工程实际需要,选定FIR的性能指标如下:采样频率f s =1000Hz;截止频率fc=100Hz;类型:低通;阶数:16阶;8bit输入和输出数据宽度。 FIR滤波器的系数可用窗函数的方法来获得,窗函数法的基本思想是使其具有最窄主瓣宽度和尽可能小的旁瓣[1]。笔者选择利用矩形窗进行设计,因为在编制LUT表的过程中运算量较小。若不选择矩形窗而选择其他窗函数进行设计也是可行的,因为ROM的容量很大,在编制查找表时,常常只用到它的一小部分空间,只是在编制查找表时计算量会变得很大。 2系数的量化 Matlab模拟得到的脉冲成形滤波器的系数都是浮点数,转换成定点系数需要进行量化。理论上使量化误差最小的定点系数在数轴上是均匀分布的,而CSD编码的系数在数轴上是非均匀分布的。存在空隙,即使无限增加字长也不能减小这个空隙,要减小这个空隙只有增加非零位个数。而非零位个数L非常重要,直接关系到硬件规模,L每加1,每个抽头就需要增加一个加法运算。 h(n)是通过窗函数求得的,在实际编写查找表时,由于数据全部采用二进制的补码形式,因此若不改变表中h(n)的形式直接编制,则得到的结果会因为个别数据产生溢出而发生错误,如当有2个同符号数相加时就可能产生溢出,溢出现象是由于得到运算结果超出了8bit补码所能表示的数据范围。 为了防止这种情况的产生,笔者采用了将h(n)数据右移一位,并增加一位符号位的方法。此种方法在保持数据位数不变的前提下,是通过牺牲数据精度来得出正确结果的。 3FIR滤波器的算法分解及查找表的实现原理 基于FPGA进行FIR滤波器设计,主要是通过乘法器、加法器和移位寄存器来实现,其核心是乘累加功能[2]。查找表的方式是将乘法器用查找表来代替,由此来提高运算速度,减少资源占用,如图1所示,以8bit输入为例,说明如何使用查找表来实现乘累加运算的。以一个2bit正整数的例子加以说明。

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

基于FPGA的数字高通滤波器设计

摘要 数字滤波器是现代数字信号处理系统中的重要组成部分,它具有模拟滤波器所无法替代的新特性,所以在通信、语音与图像处理、自动控制等领域有广泛的应用,它在降低噪声、提高信噪比及信号的频谱纯度等方面有着重要的意义。数字滤波器根据单位脉冲响应的不同,可分为FIR(有限长脉冲响应)滤波器和IIR(无限长脉冲响应)滤波器,FIR的优点在于具有良好的相位特性,IIR的优点在于具有良好的幅频特性,可以根据不同的系统性能要求选择不同的滤波器。目前滤波器的主要实现方法有三种,分别是:单片通用数字滤波器集成电路、采用DSP器件和FPGA(现场可编程门阵列)器件。 本文采用FPGA器件来实现滤波器的设计,在实现方法上先用MATLAB/Simulink 工具箱建立滤波器模型,然后用SignalCompiler把Simulink的模型文件(后缀是.mdl)转化为硬件描述语言VHDL文件,最后利用QuartusII完成滤波器的仿真、配置、编译和下载。本文最后用实例介绍了FIR数字滤波器的实现过程。 关键词:数字滤波器通信集成电路DSP FPGA

ABSTRACT The digital filter is in modern digital signal processing systems , one important part of it can not be replaced with analog filters new features , so in communications, voice and image processing, automatic control and other fields have a wide range of applications , it is reduce noise, improve spectral purity , and signal to noise ratio and other aspects of great significance . The digital filter according to the unit impulse response can be divided into FIR (finite impulse response ) filters and IIR ( infinite impulse response ) filter , the advantage is that FIR has good phase characteristic has the advantage that a good IIR amplitude-frequency characteristics , you can select different filters depending on the system performance requirements . At present, there are three types of filter implementation , namely: a monolithic integrated universal digital filters using DSP device and FPGA (field programmable gate array ) devices. In this paper FPGA devices to implement filter design , first create a filter model with MATLAB / Simulink toolbox on the implementation and use SignalCompiler the Simulink model files ( suffix . Mdl) into a hardware description language VHDL files, last QuartusII completed filter simulation use , configure , compile and download. Finally, this paper describes the implementation process with examples FIR digital filter . Keywords:digital filter communication integrated circuit DSP FPGA

泰克示波器用于数据采集的步骤及使用注意事项

泰克示波器用于数据采集的步骤及使用注意事项 泰克示波器可以用来采集数据,以下是在DPO4032下做的实验。 https://www.doczj.com/doc/265923897.html,B连接 如果不希望用U盘拷贝来拷贝去,可以找个USB电缆,再去泰克网站下载Open Choice Desktop,装好后就可以直接用USB传屏幕截图和数据了。 2.数据采样深度 回传的数据,量化误差其实不小,好像仅仅是为显示服务的。据观察,不同量程下,传回的数据量化误差是有很大差别的;另外,同一个量程下,微调每个网格的单位(xx V/div)也会影响到量化误差。不过,对于10GS/s的采样率,其AD能做到10位已经挺不容易了。不知道有没有低采样率高采样深度的示波器,如果没有,这也是个market。 3.存储深度 实验室里有两种示波器,一个存储深度2k个采样点,另一个10M个采样点。如果要对一个4s的信号采样,那么2k个点能够做到的采样率就只有500,然而对于10M存储深度的示波器,采样率可以达到2.5M。当然,我曾试过把10M的结果导出,结果存成个将近500M的文件,悲剧。 4.数据处理 可以把导出的csv文件前面几行删掉,之后用Matlab的workspace里面的Import data导入数据。1M点的数据,Matlab能轻松plot出来,真厉害啊。 5.直流耦合 在直流耦合的情况下,调节垂直偏移不影响采集数据的结果。 通过对损坏数字示波器的故障分析,发现主要损坏的原因为浮地测量,以下为预防数字示波器损坏的操作/使用注意事项: 1. 为了仪器操作人员的安全,仪器在安全范围内正常工作,保证测量波形准确、数据可靠、降低外界噪声干扰;使用时, a. 测量系统- 例如示波器、信号源;打印机、计算机等设备等

基于FPGA的FIR滤波器设计与实现

目录 引言 (5) 第一章 FPGA的设计流程 (6) 1.1 FPGA概述 (6) 1.2 FPGA设计流程 (8) 1.3硬件描述语言HDL(Hardware Description Language) (10) 1.4 FPGA开发工具Quartus Ⅱ软件设计流程 (11) 第二章有限冲激响应(FIR)滤波器的原理及设计 (14) 2.1数字信号处理基础原理 (14) 2.2 FIR滤波器背影知识 (17) 2.3 FIR数字滤波器原理 (18) 2.4 利用窗函数法设计FIR滤波器 (22) 第三章 FIR 数字滤波器的FPGA实现 (27) 3.1串行FIR滤波器原理 (27) 3.2分布式算法基础 (27) 3.3直接型FIR滤波器的原理结构图 (30) 3.4具有转置结构的FIR滤波器 (31) 第四章结论与总结 (36) 辞 (37) 参考文献 (38)

摘要:本论文课题是《基于FPGA的FIR滤波器设计与实现》。数字滤波器是语音与图象处理、模式识别、雷达信号处理、频谱分析等应用中的一种基本的处理部件,它能满足滤波器对幅度和线性相位的严格要求,避免模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。有限冲激响应(FIR)滤波器能在设计任意幅频特性的同时保证严格的线性相位特性。因此在许多应用领域都显示了强大的生命力,具有重要应用意义。本文介绍了用VHDL 实现线性相位FIR(有限长单位冲激响应)滤波器。提出了一种基于FPGA 的FIR滤波器设计方案。介绍了基于FPGA的FIR滤波器的数字信号处理的算法设计,采用直接型和转置型的基本结构来设计,其运算效率明显提高,并结合先进的EDA软件进行高效的设计和实现,并给出了用Quartus Ⅱ运行的仿真结果。该设计对FPGA硬件资源的利用高效合理,用VHDL编程,在PFGA中实现了高采样率的FIR滤波器。 关键字:FIR滤波器;FPGA;VHDL;MATLAB;Quartus Ⅱ

基于FPGA的FIR数字低通滤波器的IP核设计

目录 摘要................................................................ I Abstract ............................................................ X 1 绪论.. (1) 1.1 课题的目的和意义 (1) 1.2 FPGA技术的发展及应用 (2) 1.3 FPGA软件设计工具Quartus II (3) 2 FIR数字滤波器的理论研究及分析 (5) 2.1 数字滤波器的理论基础 (5) 2.2 数字滤波器的分类 (5) 2.3 FIR数字滤波器的设计方法 (6) 3 FPGA DSP系统设计分析 (7) 3.1 DSP的基本概念 (7) 3.2 FPGA实现DSP的特点 (8) 3.3 DSP Builder设计工具及设计规则 (9) 4 基于FPGA的FIR低通滤波器设计 (12) 4.1设计方案 (12) 4.2 FDATool滤波器设计 (12) 4.3 FPGA定点数的确定 (14) 4.3.1导出系数文件 (14) 4.3.2 FPGA定点数转换 (15) 4.4 FIR滤波器模型的建立 (17) 4.4.1乘加子系统的搭建 (17) 4.4.2 滤波器模块的添加和模块参数设置 (21)

4.4.3各模块的连接 (27) 5 Simulink仿真 (29) 5.1 仿真时间设定 (29) 5.2 示波器模块显示 (29) 5.3 仿真结果分析 (31) 6 总结 (33) 参考文献 (34) 致谢 (35) 附录 (36) 附录1 FIR滤波器仿真模型图 (36) 附录2 FIR滤波器测试模型图 (37) 附录3 FPGA定点数转换程序 (37)

泰克示波器使用方法

一. pzaoo 无源电压探头 开关在1A 位置时,卩卫200探头的带宽为6MH7 开关在10X ffiM 时.其带宽为200 MHz 使用过程中应注S 使探头袁减选择与示波器“探头”选项设置一致 常用按钮解释: save/recall :存储或者取回波形到软盘合作内存; Cursor 光标:点击按钮,激活光标,可以测量波形参数; Dis play 显示:改变波形外观或者显示屏? ?? 默认设置default setup :点击按钮,回复出厂设置; 7. help 帮助:点击按钮,激活系统的帮助系统; 1. 1. 2. 测量:点击,自动进行波形测量; 3. Acquire 采集:采样设置; 4. Utility 功用:激活系统工具,诸如语言选择; 5. 6. 8. “0" X.:

Autoset 自动设置:点击按钮,根据被测波形,自动的设计垂直、水平和触发控制器, 以利于被测波 形全部的显示; 10 .单序(SINGLES EQ)。一次羊脉冲捕获设置触发参数至正确位置。 Run/stop 运行停止:点击按钮,停止捕获波形(停止后,即会显示已经捕捉到的波动, 即波动的静 止状态),或者点击重新启动捕获,可以观察动态的波形; CH1 MENU:点击按钮,可以打开或者关闭通道 1 VOLTS/DIV 旋转按钮,可调节所选波形垂直方向刻度系数 备注:3跟15同时也为cursor1和cursor2的位置旋转按钮 Position :旋转按钮,可调节所选波形的水平位置 SET to ZER?置相对于已捕获波形的触发点至中点 9. 10. Single SEQ ? ? 11. Print 打印: Position :旋转按钮,可调节所选波形的垂直位置 2. 3. 4. MATH/MENU :显示所选运算波形类型 5. 6. HORIZ MENU 调节水平视窗及释抑菜单 7. 12. 1 E 富壬累乩応;工 pel T CM 1 ■亠T 川■_ I

基于FPGA的FIR滤波器设计

一、概述 在通信与信息技术领域,数字信号处理显得越来越重要了,数字滤波器在数字信号处理中起到重要作用并能够获得广泛应用。数字滤波器根据频域特性可以分为低通、高通、带通和带阻四种基本类型,根据时域特性可以分为无限脉冲响应IIR(Infinte Impulse Response)和有限脉冲响应FIR(Finite Impulse Response)。FIR滤波器具有良好的线性相位特性,因此在数据通信、图像处理方面有广泛的应用。 FPGA(Field Programmable Gate Array),即现场可编程门阵列,由于具有用户可编程性,能够实现用户规定的各种用途,因此又被称为可编程专用集成电路,在电子系统的设计中得到广泛的应用。利用FPGA实现FIR数字滤波器的设计,是本次课程设计需要解决的问题,利用学过的知识实现FIR数字滤波器是本次课程设计的主要内容。 二、方案论证 1.FIR数字滤波器是一种线性时不变系统(LTI),如果FIR数字滤波器的单位脉冲响应h(n)的长度为N,其传递函数和差分方程分别为: H(z) = ∑ =- 1- 0 n 1 ) ( N z n h y(n) = x(n)*h(n) =∑- =- 1 ) ( ) ( N m m n x m h 其中,x(n)和y(n)分别为输入和输出序列。如果FIR数字滤波器的单位脉冲响应h(n)是实数序列,而且满足偶对称或者奇对称条件,即 h(n) = h(N-1-n) 或者 h(n) = -h(N-1-n) 则滤波器具有严格的线性相位特点。正是因为这种特点,在滤波器的设计过程中,可使乘法器的数量减半。对于n阶滤波器,当n是偶数时,乘法器的个数为n/2个,当n 为奇数时,乘法器的个数为(n+1)/2个。 由传递函数和差分方程可以直接画出FIR滤波器的直接型结构如图1所示。 1-1-1-1- y(n) 图1 FIR滤波器的直接型结构

相关主题
文本预览
相关文档 最新文档