当前位置:文档之家› 数字电路与逻辑设计部分作业与解答

数字电路与逻辑设计部分作业与解答

数字电路与逻辑设计部分作业与解答
数字电路与逻辑设计部分作业与解答

第一章数制与码制

1-1 将下列二进制数转换成十进制数。

(1)101101 (2)11011101 (3)0.11 (4)1010101.0011

解:(1)45 (2)221 (3)0.75 (4)85.1875

1-2 将下列十进制数转换成二进制数(小数部分取四位有效数字)。

(1)37 (2)0.75 (3)12.34 (4)19.65

解:(1)100101 (2)0.11 (3)1100.0101 (4)10011.1010

1-3 将下列二进制数转换成十六进制数。

(1)0011 (2)10101111 (3)1001.0101 (4)101010.001101

解:(1)3 (2)AF (3) 9.5 (4) 2A.34

1-4 将下列十六进制数转换成二进制数。

(1)2A (2)123 (3)7F.FF (4)432.B7

解:(1)101010 (2)100100011 (3)1111111.11111111 (4)10000110010.10110111 1-5 将下列十进制数转换成十六进制数(小数部分取一位有效数字)。

(1)43 (2)36.8 (3)6.73 (4)174.5

解:(1)2B (2)24.C (3)6.B (4)AE.8

1-6 将下列十六进制数转换成十进制数。

(1)56 (2)4F.12 (3)2B.C1 (4)AB.CD

解:(1)86 (2)79.0703125 (3)43.75390625 (4)171.80078125

1-7 完成下列各数的转换。

(1)(24.36)10=(00100100.00110110)8421BCD

(2)(64.27)10=(10010111.01011010)余3BCD

(3)(01011000)8421BCD =(58)10

(4)(10110011.1011)2421BCD=(53.5)10

1-8 写出下列带符号位二进制数所表示的十进制数。

(1)0101 (2)1011 (3)10101 (4)11100

解:(1)+5 (2)-3 (3)-5 (4)-12

1-9 试写出下列十进制数的二进制原码、反码和补码(码长为8)。

(1)+37 (2)-102 (3)+10.5 (4)-38

解:(1)[+37]原 =00100101, [+37] 反=00100101,[+37] 补=00100101

(2)[-102] 原=11100110,[-102] 反=10011001,[-102] 补=10011010

(3)[+10.5] 原=0001010.1,[+10.5] 反=0001010.1,[+10.5] 补=0001010.1

(4)[-38] 原=10100110,[-38] 反=11011001,[-38] 补=11011010

第二章逻辑函数及其化简

2-1 什么叫与、或、非逻辑?试列举几种相关的实例,并列写出 3 种逻辑运算的表达式。答(1)只有当决定某一事件的条件全部具备时,这一事件才会发生。这种因果关系称

为与逻辑关系。当任一条件具备时结果就会发生,这种因果关系为或逻辑关系。当条件

不具备时,事件发生,这种因果关系成为非逻辑关系。

(2)两个开关和灯泡三者串联,它们都闭合,灯才会亮。两个开关是与的关系。两个

开关并联后再和灯泡串联,两个开关只要有一个闭合,灯就会亮。两个开关是或的关系。开关和灯泡并联,开关不闭合灯亮,开关闭合灯亮的结果就不会发生。灯亮和开关闭合

是非的关系。

(3)与逻辑表达式:F = A?B;或逻辑表达式:F = A+ B ;非逻辑表达式:F = A。

2-2 根据真值表判断异或和同或的逻辑关系是什么?

答:一个值为0 和另外一个值为1 的两个量进行异或运算,输出才为1。而同或运算

相反,两个值同为0 或者同时为1 进行同或运算,输出才为1。

2-3 逻辑函数有哪些表示方法?

答逻辑函数表示方法有:(1)逻辑函数表达式(2)逻辑真值表(3)逻辑符号图(4)

波形图(5)卡诺图等。

2-4 列出下述问题的真值表,并写出逻辑表达式:

(1) 题2-4 图所示为楼道里“单刀双掷”开关控制楼道灯的示意图。A 点表示楼上开关,B 表示楼下开关,两个开关的上接点分别为a 和b;下接点分别为c 和d。在楼下时,可以按动开关B 开灯,照亮楼梯;到楼上后,可以按动开关A 关掉灯。试写出灯的亮灭与开关A、B 的真值表和逻辑表达式。

解F = A?B + A?B

(2) 有三个温度探测器,当探测的温度超过60oC 时,输出控制信号1;如果探测的温度低于60oC 时,输出控制信号为0,当有两个或者两个以上的温度探测器输出1 信号时,总控制器输出1 信号,自动控制调控设备,使温度降低到60oC 以下。假设有3 个温度探测器,试写出总控制器的真值表和逻辑表达式。

解F = ABC + ABC + ABC + ABC

2-5 用公式法和真值表两种方法证明下列各等式:

(1) (A+ B)(A+ B +C) = AC + B

(2) AC + AB + AC D + BC = A+ BC

解证明略

2-6 写出下列各式 F 和它们的对偶式、反演式的最小项表达式:

(1) F = ABCD + ACD + BD

解F =Σm(4,6,11,12,14,15)

F=Σm(0,1,2,3,5,7,8,9,10,13)

*

F=Σm(2,5,6,7,8,10,12,13,14,15);

(2) F = AB +CD

解F =Σm(0,1,2,3,7,11,15)

F=Σm(4,5,6,8,9,10,12,13,14)

*

F=Σm(1, 2,3,5,6,7,9,10,11);

(3) F = A+ BC + D。

解F =Σm(0, 2,6,8,9,10,11,12,13,14,15)

F=Σm(1,3,4,5,7)

*

F=Σm(8,10,11,12,14)

2-7 用公式法化简下列各式:

(1) F = A(A+ B) + BC(A+ B) + B(A⊕C)

解F=A+C

(2) F = (A+ B)(A+C) + A+ B +C

解F ABC

(3) F = AB + AC + BC 。

解F = AB + AC

2-8 用卡诺图法化简下列各函数:

(1) F = (A+ B)(AB +C)

解F = AC + BC

(2) F(A, B,C) =Σm(0,1, 4,5,7)

解F = B + AC

(3) F = ABC + ACD+ AC

解F = AB +CD + AC

(4) F = BC + D + D(B +C)(AD+ B);

解F = B+D

(5) F(A, B,C,D) =Σm(4,5,6,8,9,10,13,14,15);

解F(A, B,C,D) = ABC + ABD + BCD + ABC + ACD

(6) F(A, B,C,D) =Σm(0, 2,7,13,15) +Σd(1,3, 4,5,6,8,10)。解F(A, B,C,D) = B D + BD

第三章集成逻辑门电路

3-2 CMOS 反相器的电路结构是怎样的,它有哪些特点?

答(1)CMOS 反相器是互补对称式金属-氧化物-半导体场效应管,由绝缘栅场效应管组

成的单极型集成电路。标准的CMOS 反相器是由增强型PMOS 负载管(TP)和增强型NMOS 驱动管(TN)串联组成。

(2)特点:静态功耗低;抗干扰能力较强;电压利用率高;输入阻抗高;带同类门的负载能力强。

CMOS 反相器有效地克服了TTL 集成电路中存在的单元电路结构复杂、功耗大、集成度

低等缺点。因而在向大规模和超大规模集成电路的发展中,CMOS 集成电路已占有统治地位。CMOS 反相器的电压传输特性上Vth=VDD /2,且转折区变化率很大,因此它更接近于理想

的开关特性。这种形式的电压传输特性,使CMOS 反相器获得了更大的抗干扰能力。CMOS 门电路输入管是增强型MOS 管,其输入电流近似等于零。因此,在输入端接电阻不会像TTL 门电路那样导致输入端的逻辑电平改变。由于输入阻抗很高,因此多余输入端不能悬空,应根据逻辑功能需要接电源或地。CMOS 反相器输出电平的振幅近似等于电源电压VDD,电源利用率高。

3-3 CMOS 传输门的电路结构是怎样的,它有何特殊应用?

答 CMOS 传输门是由一个P 沟道增强型MOS 管和一个N 沟道增强型MOS 管并联互补组成,两管的栅极由一对互补的控制信号C 和C 控制。由于MOS 器件的源极和漏极是对称的,所以信号可以双向传输。CMOS 传输门和CMOS 反相器一样,也是构成各种逻辑电路的一种基本单元电路,传输门的一个重要用途是作模拟开关,用来传输连续变化的模拟电压信号。

3-4 分析图所示(a)、(b)电路的逻辑功能,写出电路输出函数S 和Y 的逻辑表

达式。

解(1)S = AB + AB = A⊕B

(2)Y = A?(EN)(输出和输入之间是EN 低有效三态控制非门)

3-5 判断以下叙述是否正确(正确者打√,错误者打×):

对于CMOS 或非门电路:

(1)输入端悬空会造成逻辑出错。 ( √ )

(2)输入端接大电阻(如510 kΩ)到地相当于接高电平1。 ( × )

(3)输入端接小电阻(如510 Ω)到地相当于接低电平0 。 ( √ )

(4)输入端接低电平时有电流从门中流出。 ( √ )

(5)多余输入端不可以并联使用。 ( × )

3-6 电路如题3–6 图所示,试

(1)写出F1、F2、F3、F4 的逻辑表达式;

(2)说明四种电路的相同之处与不同之处。

解(a )F = A 。

(b )F = A 。

(c )F = A 。

(d )F = A 。

3-7 试写出电路输出端F 的最简逻辑表达式。

解 F = ( AB +CD ) E

第四章 组合逻辑电路

4-2 分析示电路的逻辑功能。

解(a) 逻辑功能是实现半加器,S=A+B ,产生进位C 。

(b) 实现了b 和c 两个变量的异或运算。

4-4 用与非门设计一个判别电路,以判别8421 码所表示的十进制数之值是否大于等于5。 解 F = A + BD + BC = A + BD + BC = A ? BD ? BC

4-6 某学期考试四门课程,数学:7 学分;英语:5 学分;政治:4 学分;体育:2 学 分;每个学生总计要获得10 个以上学分才能通过本学期考试。要求写出反映学生是否通过 本学期考试的逻辑函数。并用或非门实现,画出逻辑电路图。

解 设数学为A ,英语为B ,政治为C ,体育为D ,0 代表没有通过课程考试,1 代表通 过课程考试。F 表示本学期考试是否通过,1 代表通过,0 代表没有通过。

F B C A C A B A D =+++++++

4-8 用双4 选1 数据选择器74LS153 实现的逻辑电路,试写出输出F 的逻辑表达式。 解 F AB BC =+

4-10 有一密码锁有三个按键,分别是A 、B 、C 。当三个键均不按下时,锁打不开,也

不报警;当只有一个键按下时,锁打不开,且发出报警信号;当有两个键同时按下时,锁打 开,也不报警。当三个键都按下时,锁打开,但要报警。请设计此逻辑电路,分别用(1) 门电路;(2)3 线–8 线译码器和与非门;(3)双4 选1 数据选择器和非门;(4)全加器来实现。

解假设 F 代表锁是否打开,F=1 锁打开,反之不打开;Y 代表是否报警,Y=1 报警, Y=0 不报警。0 代表按键未按下,1 代表按键按下。F=0 表示锁打不开,F=1 表示锁开。 根据三个按键和F 与Y 的关系

F AB BC AC =++ Y ABC ABC ABC ABC =+++

4-12 利用3 线-8 线译码器74HC138 设计一个多输出的组合逻辑电路。

解 13456F Y Y Y Y = 2137F Y Y Y = 3235F Y Y Y = 40247F Y Y Y Y =

4-15 简述采用集成逻辑门设计组合逻辑电路的方法和采用中规模功能器件设计组合逻辑电路的方法。

答组合逻辑电路设计过程是根据给定要实现的逻辑功能要求,找出实现这一逻辑功能

的逻辑电路。由给定逻辑器件不同,分为两种:

(1)采用集成逻辑门设计组合逻辑电路方法:由给定的逻辑功能要求,分析得到问题的逻辑函数表达式以后,化简逻辑函数表达式,再按给定的集成逻辑门器件的类型特点,进行适当的函数表达式变换,根据化简与变换后的最佳输出逻辑函数表达式,画出逻辑电路图,完成设计任务。

(2)采用中规模功能器件设计组合逻辑电路方法:中规模集成电路器件有各自的类型特点,如加法器、编码器、译码器、数据选择器、数值比较器、奇偶检验/产生器等,要利用这些中规模组合器件的各种功能及其使能端、扩展端实现要设计的任务。同样由给定的逻辑功能要求,分析得到问题的逻辑函数表达式以后,按照给定的中规模集成电路器件特点,适当化简并转换要实现的逻辑函数表达式,通常所谓“逻辑函数对比法”,画出逻辑电路图,完成设计任务。

第五章触发器

5-13 试画出题5-13 图电路输出端Y、Z 的电压波形。输入信号A 和CP 的电压波形如

图中所示。设触发器的初始状态均为Q = 0。

5-14 试画出题5-14 图所示电路中输出波形。

第六章时序逻辑电路

6-2 分析时序电路的基本步骤是什么?

解(1)根据电路写出逻辑电路的时钟方程、各级触发器的驱动方程。

(2)将驱动方程代入各相应触发器的特征方程,得到触发器的状态转移方程。

(3)列出电路的输出方程。

(4)由状态转移方程和输出方程,列出列状态转移表,画状态转移图和波形图。(5)给出电路的逻辑功能。如果是计数器,说明能否自启动。

6-4 分析题6-4 图所示时序电路,写出驱动方程、状态转移方程和输出方程,画出状态转移图。

解电路是一个由信号A 控制的模4 加法/减法计数器。

6-7 分析题6-7 图所示时序电路,画出状态转移图,并说明电路的逻辑功能。

解电路输出000101111 序列信号。

6-9 分析题6-9 图所示时序电路,画出电路状态转换表和状态转移图,说明电路的逻辑功能。

解输出完成2分频。

6–13 采用74LS193 可加减计数器分别构成模13 加法计数器和模9 减法计数器。

解 74LS193 为四位二进制可加减计数器。

(1) 构成模13 加法计数器

采用状态0011 至1111 这13 个状态,并用异步置位法实现。

(2) 模9 减法计数器

采用状态1000 至0000 这9 个状态,并用异步置位法

6-22 分析电路,请画出在CP 作用下f 的输出波形,并说明0 f 与时钟CP

之间的关系。

解有效序列状态为

0000 → 0001 → 0010 → 0011 → 0100 为模5 计数器,其中0101 为过渡状态

6-23 分析计数电路,说明计数器的功能,列出状态转移表。

解当M=1 时实现模6 计数

当M=0 时实现模8 计数

6-24 试用中规模集成16 进制同步计数器CT54161,接成一个模13 的计数器,可附加必

要的门电路。

解用同步清0 设置,从状态0000—>1101 即可

6-29 分析计数器电路,说明这是多少进制的计数器。

解该计数器为8 进制计数器。

6-30 分析题6-15 图的计数器电路,画出电路的状态转移图,说明这是多少进制的计数器。

解具有自启动的模10计数器。

6-31 题6-16 图电路是可变进制计数器。试分析当控制变量A 为1 和0 时电路各为多少

进制计数器。

解当A=0 时,为10 进制计数器;当A=1 时,为12 进制计数器

6-32 分析题6-17 图计数器电路的分频比(即Y 与CP 的频率之比)。

解该电路分频比为 1:63。

6-36 设计移存型序列信号发生器,要求产生的序列信号为“1111001000”。

解产生1111001000 序列信号,信号模长为10,采用4 个寄存器,序列分组为

1111→1110→1100→1001→0010 →1000→0001→0011→0111→1111,输出

采用去掉前4 位留M=10 位。

6-39 题6-39 图是两片CT54161 中规模集成电路组成的计数器电路,试分析该计数器的

模值是多少,列出其状态转移表。

解为模5 计数器。

第七章半导体存储器

7-1 半导体存储器的技术指标有哪些?

解(1)存储容量,指存储器能够容纳的二进制信息的多少。(2)存取时间,

指存储器完成一次数据存取所用的平均时间。(3)功耗,指存储器在正常工作时所消耗的电功率。(4)可靠性,指存储器对周围电磁场温度和湿度等的抗干扰能力。

7-2 ROM 和RAM 在电路结构和工作原理上有何不同?

解 RAM 是可读、可写的存储器,用于存放一些临时性的数据。其最大的优

点是读写方便,使用灵活。但是断电后,随机存取存储器内存储的数据会丢失,

所以也称为易失性存储器。ROM 常用来存放永久性的、不变的信息,其内容只

能随机读出而不能写入。

7-3 动态存储器和静态存储器在电路结构和读写操作上有何不同?

解按照存储原理不同,RAM 包括静态存储器SRAM 和动态存储器DRAM

两种。SRAM 存储电路以双稳态触发器为基础,状态稳定,只要不掉电,信息不会丢失。其优点是不需要刷新,控制电路简单,但集成度较低,适用于不需要大存储容量的计算机系统。DRAM 存储单元以电容为基础,电路简单、集成度高。但也存在问题,即电容中的电荷由于漏电会逐渐丢失。因此,DRAM 需要定时刷新,它适用于大存储容量的计算机系统。

7-4 一个ROM 共有10 根地址线和4 根位线,则其存储容量是多少?

解存储容量 = 210× 4位。

7-5 用容量为16K×8位存储器芯片构成1个64K×8位的存储系统,需要多少根地址线?多少根数据线?

解 16根地址线,8根数据线。

第八章可编程逻辑器件

8-1 什么是可编程逻辑器件?有哪些种类?试比较各种PLD 的特点。

答可编程逻辑器件英文全称为:Programmable Logic Device,简称PLD。它是一种功

能不是固定不变的,而是可根据用户的需要而进行改变,即由编程的方法来确定逻辑功能的一类器件。随着集成工艺的发展,可编程逻辑器件的集成规模越来越大,集成度从每片几百门发展到几千门,甚至几百万门。按照其集成度,可编程逻辑器件可分为低密度可编程逻辑器件LDPLD(Low Density PLD)和高密度可编程逻辑器件HDPLD(High Density PLD)两大类。低密度可编程逻辑器件通常指集成度小于每片1000 门的PLD。PROM、PLA、PAL 和GAL 均属于此类。与中小规模集成电路相比,具有集成度高、速度快、设计方便

灵活、设计周期短等优点。因此,得到了广泛应用。但很难满足大规模以及超大规模专用集成电路( ASIC)在规模和性能上的要求。

8-3 FPGA 主要由哪几部分组成?各部分的基本功能是什么?

答 FPGA 主要由可编程输入/输出模块IOB、可编程逻辑块CLB、可编程互连资源PIR 和

用于存放编程数据的静态存储器SRAM 组成。可编程输入/输出模块IOB 分布在芯片的四周,它是内部逻辑电路和芯片外引脚之间的可编程接口电路。可编程逻辑块CLB 分布在芯片的中间,通过对CLB 编程可实现组合逻辑电路和时序逻辑电路。系统的主要逻辑功能由CLB 实现。可编程互连资源PIR 提供了丰富的连线资源,包括纵横网状连线、可编程开关矩阵和可编程连接点等。主要用以实现CLB 模块之间、CLB 模块与IOB 模块之间的连接。静态存储器SRAM 用于存放内部IOB、CLB 和PIR 的编程数据,并形成对IOB、CLB 及PIR 的控制,从而完成系统逻辑功能。系统断电后,SRAM 中存放的数据会全部丢失。因此,每次在系统通电后,都要把存放在EPROM 中的编程数据通过逻辑电路重新装载到FPGA 的静态存储器SRAM 中。数据的重新装载过程可以是自动完成,也可以由单片机控制完成。

8-4 试比较CPLD 和FPGA 的异同。

答(1)FPGA 器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现较复杂

的组合电路则需要几个逻辑阵列块(LAB)结合起来实现。CPLD 的与或阵列结构,使其适于实现大规模的组合功能,但触发器资源相对较少。

(2)FPGA 采用SRAM 进行功能配置,可重复编程,但系统掉电后,SRAM 中的数据丢失。因此,需在FPGA 外加EPROM,将配置数据写入,系统每次上电自动将数据引入SRAM。CPLD 器件一般采用E2PROM 存储技术,可重复编程,并且系统掉电后,E2PROM 中的数据不会丢失,适于数据的保密。

(3)FPGA 为细粒度结构,CPLD 为粗粒度结构。FPGA 内部有丰富连线资源,逻辑阵列

块(LAB)分块较小,芯片的利用率较高。CPLD 的宏单元的与或阵列较大,且宏单元之间主要通过高速数据通道连接,其容量有限,限制了器件的灵活布线,因此CPLD 利用率较FPGA 器件低。

(4)FPGA 为非连续式布线,CPLD 为连续式布线。FPGA 器件在每次编程时实现的逻辑

功能一样,但走的路线不同。因此延时不易控制,要求开发软件允许工程师对关键的路线给予限制。CPLD 每次布线路径一样,CPLD 的连续式互连结构利用具有同样长度的一些金属线实现逻辑单元之间的互连。连续式互连结构消除了分段式互连结构在定时上的差异,在逻辑单元之间提供快速且具有固定延时的通路。CPLD 的延时较小。

(5)CPLD 价格较便宜,能直接用于系统。各系列的CPLD 器件的逻辑规模覆盖面居中

小规模(1000 门至5 万门),有很宽的可选范围,上市速度快,市场风险小,编程方式极为便捷。FPGA 适合大规模的逻辑设计、ASIC 设计或单片系统设计。

8-9 用VHDL 设计8421BCD 码十进制加法计数器。

解信号定义:

data:预置输入;

CLK:时钟信号;

R:异步清0,低电平清0 有效;

S:预置控制端,高电平有效;

Q:加法计算器输出。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY COUNT10 IS

PORT( data: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CLK,R,S: IN STD_LOGIC;

co: OUT STD_LOGIC;

Q: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));

END COUNT10;

ARCHITECTURE behave OF COUNT10 IS

BEGIN

co<='1' WHEN (Q="1001") ELSE '0';

PROCESS(CLK,R)

BEGIN

IF (R='0') THEN Q<="0000";

ELSIF (CLK'EVENT AND CLK='1') THEN

IF (S='1') THEN Q<=DATA;

ELSIF (Q=9) THEN Q<="0000";

ELSE Q<=Q+1;

END IF;

182

END IF;

END PROCESS;

END behave;

第九章脉冲波形的产生和整形

9-1 题9-1 图所示为TTL与非门构成的微分型单稳态电路,试画出在输入信号I v 作用下,a 、b 、d 、e 、0 v 各点波形,求输出0 v 的脉冲宽度。

解在输入信号I v 作用下,a 、b 、d 、e 、0 v 各点波形如图所示。

9-5 在使用图所示的单稳态电路时,对输入脉冲的宽度有无限制?当输入脉冲的

低电平持续时间过长时,电路应作何修改?

解由于输入脉冲是从555 的第二脚输入,第二脚以窄负脉冲作为触发脉冲,其负脉冲

宽度应小于电容充电电路的时间常数RC。当输入脉冲的低电平持续时间过长时,则应在第二脚输入之前增加一个负脉冲微分电路,使输入脉冲过宽的低电平持续变成负尖脉冲。

9-9 试用555 定时器设计一个多谐振荡器,要求输出脉冲的振荡频率为20kHz ,占空

比为75% 。

解C =1.79×10?8F ≈ 0.018uF

第十章数模和模数转换器

10-2 简述A/D 转换的一般步骤。

解 A/D 转换一般包括采样,保持,量化,编码等四个部分。采样就是将连续的模拟信

号转换成时间上离散幅值上连续的脉冲信号。A/D 转换器将模拟量转换为数字量期间,要求输入的模拟信号有一段稳定的保持时间,以便对模拟信号进行离散处理,即对输入的模拟信号进行采样。采样-保持后的信号幅值仍是连续的,只有将这些幅值转化成某个最小数量单位的整数倍,才能将其转换成相应的数字量,这个过程称为量化。量化后的幅值用一个数值代码与之对应,称为编码,这个数制代码就是A/D 转换器输出的数字量。

10-3 列出D/A 转换器的主要组成部分。

解 D/A 转换电路主要由数码寄存器、模拟电子开关、解码网络、求和电路及基准电压

组成。

10-4 试比较逐次逼近型、并行比较型和双积分型A/D 转换器的各自特点。

解(1)逐次逼近型A/D 转换器属于直接型A/D 转换器,它能把输入的模拟电压直接

转换为输出的数字代码,而不需要经过中间变量。电路结构简单,构思巧妙。但是,逐次逼近型A/D 转换器的速度受比较器的速度、逻辑开销等因素的限制,分辨率在14 位至16 位。(2)并行比较型A/D 转换器转换时间可小到几十纳秒,使用时一般不需要保持电路。虽然具有高速和内部采样的优点,它的主要缺点是电路比较复杂,成本高。

(3)双积分型A/D 转换器属于间接型A/D 转换器,优点是工作性能比较稳定,抗干扰能力强,电路的结构也比较简单。另外,A/D 转换器中的积分器对平均值为零的各种噪声有很强的拟制能力。主要缺点是工作速度较低,其转换速度一般在每秒几十次之内。

10-10 D/A 转换器的主要技术指标有哪些?

解分辨率: D/A 转换器输出的最小分辨电压与满刻度输出电压的比值。

转换精度:在 D/A 转换器中,一般用转换误差来描述转换精度。

转换时间: D/A 转换器在输入数字信号开始转换到输出的模拟电压达到稳定值所需的时间。

温度系数:在输入不变的情况下,输出模拟电压随温度变化产生的变化量。一般用满刻

度输出条件下温度每升高1℃,输出电压变化的百分数作为温度系数。

合工大机械设计基础作业部分答案

3 凸轮机构 1.【答】 根据形状,可分为盘形凸轮、移动凸轮和圆柱凸轮三类。 基本组成部分有凸轮、从动件和机架三个部分。 凸轮与从动件之间的接触可以通过弹簧力、重力或凹槽来实现。 2.【答】 从动件采用等速运动规律时,运动开始时,速度由零突变为一常数,运动终止时,速度由常数突变为零,因此从动件加速度及惯性力在理论上为无穷大(由于材料有弹性变形,实际上不可能达到无穷大),使机构受到强烈的冲击。这种由于惯性力无穷大突变而引起的冲击,称为刚性冲击。 从动件运动时加速度出现有限值的突然变化,产生惯性力的突变,但突变是有限的,其引起的冲击也是有限的,这种由于加速度发生有限值突变而引起的冲击称为柔性冲击。等加速等减速运动规律和简谐运动规律都会产生柔性冲击。 3.【答】应注意的问题有: 1)滚子半径:必须保证滚子半径小于理论轮廓外凸部分的最小曲率半径;在确保运动不失真的情况下,可以适当增大滚子半径,以减小凸轮与滚子之间的接触应力; 2)校核压力角:进行为了确保凸轮机构的运动性能,应对凸轮轮廓各处的压力角进行校核,检查其最大压力角是否超过许用值。如果最大压力角超过许用值,一般可以通过增加基圆半径或重新选择从动件运动规律; 3)合理选择基圆半径:凸轮的基圆半径应尽可能小些,以使所设计的凸轮机构可能紧凑,但基圆半径越小,凸轮推程轮廓越陡峻,压力角也越大,致使机构工作情况变坏。基圆半径过小,压力角就会超过许用值,使机构效率太低,甚至发生自锁。 4.【答】绘制滚子从动件凸轮轮廓时,按反转法绘制的尖顶从动件的凸轮轮廓曲线称为凸轮的理论轮廓。由于滚子从动件的中心真实反映了从动件的运动规律和受力状况,因此基圆半径和压力角应在理论轮廓上量取。

数字电路与逻辑设计

专升本《数字电路与逻辑设计》作业练习题6 解析与答案 一、单选题(选择最合适的答案) 1. 哪种逻辑门“只有在所有输入均为0时,输出才是1”? () A.或非门B.与非门C.异或门D.与或非门 答案:A 解析: 或非门 2.设两输入“与非”门的输入为x和y,输出为z,当z=1时,x和y的取值一定是() A. 至少有一个为1 B. 同时为1 C. 同时为0 D. 至少有一个为0 答案:D 解析: 与非逻辑 3. 两输入与非门输出为0时,输入应满足()。 A.两个同时为1 B.两个同时为0 C.两个互为相反D.两个中至少有一个为0 答案:A 解析:输入全为1 4. 异或门的两个输入为下列哪—种时,其输出为1? A.1,l B.0,1 C.0,0 D.以上都正确 答案:B 解析: 输入不同 5. 下列逻辑门中哪一种门的输出在任何条件下都可以并联使用?()A.具有推拉式输出的TTL与非门B.TTL集电级开路门(OC门) C.普通CMOS与非门D.CMOS三态输出门 答案:B 解析: A,C普通与非门不能并联使用; D三态输出门并联使用是有条件的:它们的使能端(控制端)必须反向,即只能有一个门处于非高阻态

ADABB 二、多选题(选择所有合适的答案) 用TTL 与非门、或非门实现反相器功能时,多余输入端应该( ) A .与非门的多余输入端应接低电平 B. 或非门的多余输入端应接低电平 C. 与非门的多余输入端应接高电平 D. 或非门的多余输入端应接低高平 答案:BC 解析: 多余输入端对与逻辑要接1,对或逻辑要接0 三、简答题 1. 分析如下两个由或非门、异或门、非门以及与非门构成的逻辑电路,请你:①写出F1和F2的逻辑表达式;②当输入变量A ,B 取何值时,两个电路等效? 答案:{ ① 根据图可写出两个电路的输出函数表达式分别为: 12()F A A B A A B A A B A A B A AB AB A A B F AB A B =⊕+=?++?+=??+++=+==+ = ②列出两个电路的真值表: 可见,无论A,B 取任何值,两个电路都等效。 }

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

机械设计基础作业集参考答案(12_17) - 副本

12 带 传 动13 链 传 动14 轴15 滑 动 轴 承16 滚 动 轴 承 17 联轴器、离合器及制动器 1、【答】由公式 αα f f ec e e F F /11/1120+-= 影响带传动工作能力的因素有: (1) 预紧力:预紧力越大,工作能力越强,但应适度,以避免过大拉应力; (2) 包角:包角越大越好,一般不小于120度; (3) 摩擦系数:摩擦系数越大越好。 2、【答】由公式A c 2 υσ=可知,为避免过大的离心拉应力,带速不宜太高; 1) 由公式(12-6),带传动的圆周力 υP F 1000= 由公式(12-8),紧边拉力 υ P F F F F 10002001+=+= 因此,为避免紧边的拉应力 A F 11= σ 过大,带速不宜太低。 3、【答】 带传动中的弹性滑动是由于带松边和紧边拉力不同,导致带的弹性变形并引起带与带轮之间发生相对微小滑动产生的,是带传动固有的物理现象。弹性滑动会使带产生磨损,并且使从动轮转速小于主动轮转速。 带传动中由于工作载荷超过临界值并进一步增大时,带与带轮间将产生显著的相对滑动,这种现象称为打滑。打滑将使带的磨损加剧,从动轮转速急剧降低,甚至使传动失效,这种情况应当避免。 4、【答】带传动的主要失效形式是打滑和疲劳破坏。 带传动的设计准则是在保证带传动不打滑的条件下,具有一定的疲劳强度和寿命。 13 链 传 动

2 1、【答】链传动优点与属于摩擦传动的带传动相比,链传动无弹性滑动和打滑现象,因而能保证准确的平均传动比,传动效率较高;又因链条不需要像带那样张得很,所以作用于轴上的径向压力较小;在同样的条件下,链传动结构较为紧凑。同时链传动能在高温和低温的情况下工作。 2、【答】链传动运动中由于链条围绕在链轮上形成了正多边形,造成了运动的不均匀性,称为链传动的多边形效应。这是链传动固有的特性。 减轻链传动多边形效应的主要措施有: 1) 减小链条节距; 2) 增加链轮齿数; 3) 降低链速。 3、【答】滚子链传动的主要失效形式为: 1)链的疲劳破坏:链在工作时,周而复始地由松边到紧边不断运动着,因而它的各个元件都是在变应力作用下工作,经过一定循环次数后,链板将会出现疲劳断裂,或者套筒、滚子表面将会出现疲劳点蚀(多边形效应引起的冲击疲劳)。 2)链条铰链的磨损:链条在工作过程中,由于铰链的销轴与套筒间承受较大的压力,传动时彼此又产生相对转动,导致铰链磨损,使链条总长伸长,从而使链的松边垂度变化,增大动载荷,发生振动,引起跳齿,加大噪声以及其它破坏,如销轴因磨损削弱而断裂等。 3)链条铰链的胶合:当链轮转速高达一定数值时,链节啮入时受到的冲击能量增大,销轴和套筒间润滑油被破坏,使两者的工作表面在很高的温度和压力下直接接触,从而导致胶合。因此,胶合在一定程度上限制了链的传动的极限转速。 4)链条静力拉断:低速(6.0<υm/s )的链条过载,并超过了链条静力强度的情况下,链条就会被拉断。 14 轴 1、【答】 工作中只承受扭矩而不承受弯矩(或弯矩很小)的轴称为传动轴。只承受弯矩而不承受扭矩的轴称为心轴。既承受弯矩又承受扭矩的轴称为转轴。 自行车的前轴和后轴属于心轴,中轴属于转轴。 2、【答】轴的常用周向定位方式有:键、花键、销、紧定螺钉以及过盈配合等。 轴的常用轴向定位方式有:轴肩、套筒、轴端挡圈、轴承端盖和圆螺母等。 3、【答】轴的强度不足时,可采取:增大轴的直径;改变材料类型;增大过渡圆角半径;对轴的表面进行热处理和表面硬化加工处理;提高表面加工质量;用开卸载槽等方法降低过盈配合处的应力集中程度;改进轴的结构形状等措施。 刚度不足时只能采取增大轴径,改变轴外形等措施。 6、

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

电磁场理论习题及答案1

一. 1.对于矢量A u v,若A u v= e u u v x A+y e u u v y A+z e u u v z A, x 则: e u u v?x e u u v=;z e u u v?z e u u v=; y e u u v?x e u u v=;x e u u v?x e u u v= z 2.对于某一矢量A u v,它的散度定义式为; 用哈密顿算子表示为 3.对于矢量A u v,写出: 高斯定理 斯托克斯定理 4.真空中静电场的两个基本方程的微分形式为 和 5.分析恒定磁场时,在无界真空中,两个基本场变量之间的关系为,通常称它为 二.判断:(共20分,每空2分)正确的在括号中打“√”,错误的打“×”。 1.描绘物理状态空间分布的标量函数和矢量函数,在时间为一定值的情况下,它们是唯一的。() 2.标量场的梯度运算和矢量场的旋度运算都是矢量。() 3.梯度的方向是等值面的切线方向。() 4.恒定电流场是一个无散度场。() 5.一般说来,电场和磁场是共存于同一空间的,但在静止和恒定的情况下,电场和磁场可以独立进行分析。() 6.静电场和恒定磁场都是矢量场,在本质上也是相同的。()

7.研究物质空间内的电场时,仅用电场强度一个场变量不能完全反映物质内发生的静电现象。( ) 8.泊松方程和拉普拉斯方程都适用于有源区域。( ) 9.静电场的边值问题,在每一类的边界条件下,泊松方程或拉普拉斯方程的解都是唯一的。( ) 10.物质被磁化问题和磁化物质产生的宏观磁效应问题是不相关的两方面问题。( ) 三.简答:(共30分,每小题5分) 1.用数学式说明梯无旋。 2.写出标量场的方向导数表达式并说明其涵义。 3.说明真空中电场强度和库仑定律。 4.实际边值问题的边界条件分为哪几类? 5.写出磁通连续性方程的积分形式和微分形式。 6.写出在恒定磁场中,不同介质交界面上的边界条件。 四.计算:(共10分)半径分别为a,b(a>b),球心距为c(c

数字电路与逻辑设计试题与答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于 ______偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A-B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1=______,其约束方程为:_____ _。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___ 个输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y =______;Y 3 =_____ 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F *为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()() D C B A ++ 1 A B 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B. 每个与项中含有的变量个数少 C. 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

大学物理电磁学练习题及答案

大学物理电磁学练习题 球壳,内半径为R 。在腔内离球心的距离为d 处(d R <),固定一点电荷q +,如图所示。用导线把球壳接地后,再把地线撤 去。选无穷远处为电势零点,则球心O 处的电势为[ D ] (A) 0 (B) 04πq d ε (C) 04πq R ε- (D) 01 1 () 4πq d R ε- 2. 一个平行板电容器, 充电后与电源断开, 当用绝缘手柄将电容器两极板的距离拉大, 则两极板间的电势差12U 、电场强度的大小E 、电场能量W 将发生如下变化:[ C ] (A) 12U 减小,E 减小,W 减小; (B) 12U 增大,E 增大,W 增大; (C) 12U 增大,E 不变,W 增大; (D) 12U 减小,E 不变,W 不变. 3.如图,在一圆形电流I 所在的平面内, 选一个同心圆形闭合回路L (A) ?=?L l B 0d ,且环路上任意一点0B = (B) ?=?L l B 0d ,且环路上 任意一点0B ≠ (C) ?≠?L l B 0d ,且环路上任意一点0B ≠ (D) ?≠?L l B 0d ,且环路上任意一点B = 常量. [ B ] 4.一个通有电流I 的导体,厚度为D ,横截面积为S ,放置在磁感应强度为B 的匀强磁场中,磁场方向垂直于导体的侧表面,如图所示。现测得导体上下两面电势差为V ,则此导体的霍尔系数等于[ C ] (A) IB V D S (B) B V S ID (C) V D IB (D) IV S B D 5.如图所示,直角三角形金属框架abc 放在均匀磁场中,磁场B 平行于ab 边,bc 的长度为 l 。当金属框架绕ab 边以匀角速度ω转动时,abc 回路中的感应电动势ε和a 、 c 两点间的电势差a c U U -为 [ B ] (A)2 0,a c U U B l εω=-= (B) 2 0,/2a c U U B l εω=-=- (C)22 ,/2a c B l U U B l εωω=-= (D)2 2 ,a c B l U U B l εωω=-= 6. 对位移电流,有下述四种说法,请指出哪一种说法正确 [ A ] (A) 位移电流是由变化的电场产生的; (B) 位移电流是由线性变化的磁场产生的; (C) 位移电流的热效应服从焦耳——楞次定律; (D) 位移电流的磁效应不服从安培环路定理.

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

电磁学作业及解答

电磁学习题 1 (1)在没有电流的空间区域里,如果磁感应线是平行直线,磁感应强度B 的大 小在沿磁感应线和垂直它的方向上是否可能变化(即磁场是否一定是均匀的)? (2)若存在电流,上述结论是否还对? 2 如题图所示,AB 、CD 为长直导线,C B 为圆心在O 点的一段圆弧形导线, 其半径为R .若通以电流I ,求O 点的磁感应强度. 图 3 在半径为R 的长直圆柱形导体内部,与轴线平行地挖成一半径为r 的长直圆柱形空腔,两轴间距离为a ,且a >r ,横截面如题9-17图所示.现在电流I 沿导体管流动,电流均匀分布在管的横截面上,而电流方向与管的轴线平行.求: (1)圆柱轴线上的磁感应强度的大小; (2)空心部分轴线上的磁感应强度的大小. 4 如图所示,长直电流1I 附近有一等腰直角三角形线框,通以电流2I ,二者 共面.求△ABC 的各边所受的磁力. 图 5 一正方形线圈,由细导线做成,边长为a ,共有N 匝,可以绕通过其相对两边中点的一个竖直轴自由转动.现在线圈中通有电流I ,并把线圈放在均匀的水平

外磁场B 中,线圈对其转轴的转动惯量为J .求线圈绕其平衡位置作微小振动时 的振动周期T . 6 电子在B =70×10-4 T 的匀强磁场中作圆周运动,圆周半径r =3.0cm .已知B 垂直于纸面向外,某时刻电子在A 点,速度v 向上,如图. (1) 试画出这电子运动的轨道; (2) 求这电子速度v 的大小; (3)求这电子的动能k E . 图 7 在霍耳效应实验中,一宽1.0cm ,长4.0cm ,厚1.0×10-3cm 的导体,沿长度 方向载有3.0A 的电流,当磁感应强度大小为B =1.5T 的磁场垂直地通过该导体时,产生1.0×10-5V 的横向电压.试求: (1) 载流子的漂移速度; (2) 每立方米的载流子数目. 8 如图所示,载有电流I 的长直导线附近,放一导体半圆环MeN 与长直导线共面,且端点MN 的连线与长直导线垂直.半圆环的半径为b ,环心O 与导线相距a .设半圆环以速度v 平行导线平移.求半圆环内感应电动势的大小和方向及MN 两端的电压 N M U U . 图 9 如图所示,用一根硬导线弯成半径为r 的一个半圆.令这半圆形导线在磁场

机械设计基础课程形成性考核作业及答案

机械设计基础课程形成性考核作业(一) 第1章静力分析基础 1.取分离体画受力图时,__CEF__力的指向可以假定,__ABDG__力的指向不能假定。 A.光滑面约束力B.柔体约束力C.铰链约束力D.活动铰链反力E.固定端约束力F.固定端约束力偶矩G.正压力 2.列平衡方程求解平面任意力系时,坐标轴选在__B__的方向上,使投影方程简便;矩心应选在_FG_点上,使力矩方程简便。 A.与已知力垂直B.与未知力垂直C.与未知力平行D.任意 E.已知力作用点F.未知力作用点G.两未知力交点H.任意点 3.画出图示各结构中AB构件的受力图。 4.如图所示吊杆中A、B、C均为铰链连接,已知主动力F=40kN,AB=BC=2m,α=30?.求两吊杆的受力的大小。

∑=0 Fx 又因为AB=BC α α 答:当机构的原动件数等于自由度数时,机构具有确定的运动 2.什么是运动副?什么是高副?什么是低副? 答:使两个构件直接接触并产生一定相对运动的联接,称为运动副。以点接触或线接触的运动副称为高副,以面接触的运动副称为低副。 3.计算下列机构的自由度,并指出复合铰链、局部自由度和虚约束。

(1)n =7,P L =10,P H =0 (2)n =5,P L =7,P H =0 H L P P n F --=23 H L P P n F --=23 =10273?-? =7253?-? 1= 1= C 处为复合铰链 (3)n =7,P L =10,P H =0 (4)n =7,P L =9,P H =1 H L P P n F --=23 H L P P n F --=23 =10273?-? =19273-?-? 1= 2= E 、E ’有一处为虚约束 F 为局部自由度

数字电路与逻辑设计(人民邮电出版社)课后答案(邹红主编)

1-1将下列二进制数转换成等值的十进制数和十六进制数。 (1)(1101010.01)2; (3)(11.0101)2; (2)(111010100.011)2; (4)(0.00110101)2; 解:二进制数按位权展开求和可得等值的十进制数;利用进制为2k数之间的特点可以直接将二进制数转换为等值的十六进制数。 (1)(1101010.01)2=1×26+1×25+1×23+1×21+1×2-2 =(106.25)10=(6A.4)16 (2)(111010100.011)2=1×28+1×27+1×26+1×24+1×22+1×2-2+ 1×2-3=(468.375)10=(1D4.6)16 (3)(11.0101)2=1×21+1×20+1×2-2+1×2-4 =(3.3125)10=(3.5)16 (4)(0.00110101)2=1×2-3+1×2-4+1×2-6+1×2-8 =(0.20703125)10=(0.35)16 1-2将下列十进制数转换成等值的二进制数、八进制数和十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(378.25)10; (3)(56.7)10; (2)(194.5)10; (4)(27.6)10; 解法1:先将十进制数转换成二进制数,再用进制为2k数之间的特点可以直接将二进制数转换为等值的八进制数和十六进制数。 (1)(378.25)10=(101111010.0100)2=(572.2)8=(17A.4)16 (2)(194.5)10=(11000010.1000)2=(302.4)8=(C2.8)16

(3)(56.7)10 =(111000.1011)2=(70.54)8=(38.B )16 (4)(27.6)10 =(11011.1001)2=(33.44)8=(1B.9)16 解法 2:直接由十进制数分别求二进制、八进制和十六进制数。由于二进制 数在解法 1 已求出,在此以(1)为例,仅求八进制数和十六进制数。

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

机械设计基础作业集

0 绪论 1.【答】 机器的共同特征是:(1)它们是一种人为实物的组合;(2)各部分之间形成各个运动单元,且各单元之间具有确定的相对运动;(3)在生产过程中能完成有用的机械功(如:机床的切削加工)或转换机械能(如:内燃机、电动机)。 机构的共同特征是:(1)它们是一种人为实物的组合;(2)它们各部分之间形成各个运动单元,且各单元之间具有确定的相对运动。如连杆机构、凸轮机构、齿轮机构。 机器与机构的区别是前者在生产过程中能完成有用的机械功或转换机械能。 2.【答】 构件与零件的区别是:构件是运动的单元,而零件是制造的单元。 零件的实例有:齿轮,键,轴,弹簧。 构件的实例有:连杆,齿轮、键、轴组成的装配体。 3、【答】 在各种机器中经常能用到的零件称为通用零件。如螺钉、齿轮、弹簧、链轮等。 在特定类型的机器中才能用到的零件称为专用零件。如汽轮机的叶片、内燃机的活塞、曲轴等。

1 平面机构及其自由度 1.【答】 运动副是由两构件直接接触形成的一种可动联接。 面接触的运动副称为低副,点接触或线接触的运动副称为高副。 在平面机构中低副引入2个约束,高副引入1个约束。 低副容易加工制造,并且承载能力大。 2.【答】 机构具有确定运动的条件是:机构的自由度大于0,且机构的原动件数等于机构的自由度数。 若不满足这一条件,机构会出现三种情况: (1)当机构的自由度数大于原动件数时,从动件的运动不确定; (2)当机构的自由度大于0,但小于原动件数时,会发生运动干涉而破坏构件; (3)当机构的自由度小于或等于0时,不能形成机构,是不能产生相对运动的静定或超静定刚性结构。 3.【答】 机构的自由度是指机构中各构件相对于机架所具有的独立运动数目。 计算自由度时应注意:(1)复合铰链;(2)局部自由度;(3)虚约束。 4.【a解】Array活动构件数5 n,低副数量7 = P,高副数量 = L = P,故自由度为 H - ? F ? = 3= 1 - 7 2 5 该机构无复合铰链、局部自由度和虚约束。 a)

最新数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

《机械设计基础》答案.. ()()

《机械设计基础》作业答案 第一章 平面机构的自由度和速度分析 1-1 1-2 1-3 1-4 1-5 自由度为: 或: 1-6 自由度为 或: 1-10 自由度为: 或: 1-11 1-13:求出题1-13图导杆机构的全部瞬心和构件1、3的角速度比。 1-14:求出题1-14图正切机构的全部瞬心。设s rad /101=ω,求构件3的速度3v 。 1-15:题1-15图所示为摩擦行星传动机构,设行星轮2与构件1、4保持纯滚动接触,试用瞬心法求轮1与轮2的角速度比21/ωω。 构件1、2的瞬心为P 12 P 24、P 14分别为构件2与构件1相对于机架的绝对瞬心

1-16:题1-16图所示曲柄滑块机构,已知:s mm l AB /100=,s mm l BC /250=, s rad /101=ω,求机构全部瞬心、滑块速度3v 和连杆角速度2ω。 在三角形ABC 中, BCA AB BC ∠= sin 45sin 0 ,52sin = ∠BCA ,5 23cos =∠BCA , 0 45 sin sin BC ABC AC =∠,mm AC 7.310≈ 1-17:题1-17图所示平底摆动从动件凸轮1为半径20=r 的圆盘,圆盘中心C 与凸轮回转中心的距离mm l AC 15=,mm l AB 90=,s rad /101=ω,求00=θ和0180=θ时,从动件角速度2ω的数值和方向。 00=θ时 方向如图中所示 当0180=θ时 方向如图中所示

第二章 平面连杆机构 2-1 试根据题2-1图所注明的尺寸判断下列铰链四杆机构是曲柄摇杆机构、双曲柄机构还是双摇杆机构。 (1)双曲柄机构 (2)曲柄摇杆机构 (3)双摇杆机构 (4)双摇杆机构 2-3 画出题2-3图所示各机构的传动角和压力角。图中标注箭头的构件为原动件。 2-4 已知某曲柄摇杆机构的曲柄匀速转动,极位夹角θ为300,摇杆工作行程需时7s 。试问:(1)摇杆空回程需时几秒?(2)曲柄每分钟转数是多少? 解:(1)根据题已知条件可得: 工作行程曲柄的转角01210=? 则空回程曲柄的转角02150=? 摇杆工作行程用时7s ,则可得到空回程需时: (2)由前计算可知,曲柄每转一周需时12s ,则曲柄每分钟的转数为 2-5 设计一脚踏轧棉机的曲柄摇杆机构,如题2-5图所示,要求踏板CD 在水平位置上下各摆100,且mm l mm l AD CD 1000,500==。(1)试用图解法求曲柄AB 和连杆BC 的长度;(2)用式(2-6)和式(2-6)'计算此机构的最小传动角。 解: 以踏板为主动件,所以最小传动角为0度。 2-6 设计一曲柄摇杆机构。已知摇杆长度mm l 1003=,摆角030=ψ,摇杆的行程速比变化系数2.1=K 。(1)用图解法确定其余三杆的尺寸;(2)用式(2-6)和式(2-6)'

数字电路与逻辑设计课程设计

课程设计说明书 课程设计名称数字电路与逻辑设计 专业计算机科学与技术 班级150403班 学生姓名陆文祥 指导教师宋宇 2016 年12 月19 日

课程设计任务书

题目:1.简易数字电子钟的设计与制作 2.简易数字频率计的设计与制作 3.简易智力竞赛抢答器的设计与制作 4.简易玩具电子琴的设计与制作 5.自选题目:自动电子钟 目录 设计实验一 (4) 设计实验二 (8) 设计实验三 (11) 设计实验四 (15) 自选题目 (20)

设计实验一 一、实验题目: 简易数字电子钟的设计与制作 二、设计目的 1、了解计时器主体电路的组成及工作原理; 2、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 3、熟悉集成电路及有关电子器件的使用; 三、实验要求 要求设计一个能显示两位秒信号的数字电子钟,分电路设计、电路安装、电路调测三个阶段完成。 四、实验内容 (一).设计原理思路: 本次设计以数字电子为主,分别对时钟信号源、秒计时显示、分计时显示、小时计时显示进行设计,然后将它们组合,来完成时、分、秒的显示并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,如74ls90、74ls48,LED数码管及各种门电路和基本的触发器等,电路使用直流电源供电,很适合在日常生活中使用数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。数字电子钟由以下几部分组成:六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部分等。 (二)实验电路图 图1 数字电子钟

电磁学作业及解答

电磁学习题 1 (1)在没有电流的空间区域里,如果磁感应线是平行直线,磁感应强度B 的大小在沿 磁感应线和垂直它的方向上是否可能变化(即磁场是否一定是均匀的) (2)若存在电流,上述结论是否还对 2 如题图所示,AB 、CD 为长直导线,C B 为圆心在O 点的一段圆弧形导线,其半径为R .若通以电流I ,求O 点的磁感应强度. 图 3 在半径为R 的长直圆柱形导体内部,与轴线平行地挖成一半径为r 的长直圆柱形空腔,两轴间距离为a ,且a >r ,横截面如题9-17图所示.现在电流I 沿导体管流动,电流均匀分布在管的横截面上,而电流方向与管的轴线平行.求: (1)圆柱轴线上的磁感应强度的大小; (2)空心部分轴线上的磁感应强度的大小. 4 如图所示,长直电流1I 附近有一等腰直角三角形线框,通以电流2I ,二者 共面.求△ABC 的各边所受的磁力. 图 5 一正方形线圈,由细导线做成,边长为a ,共有N 匝,可以绕通过其相对两边中点

的一个竖直轴自由转动.现在线圈中通有电流I ,并把线圈放在均匀的水平外磁场B 中,线圈对其转轴的转动惯量为J .求线圈绕其平衡位置作微小振动时的振动周期T . 6 电子在B =70×10-4 T 的匀强磁场中作圆周运动,圆周半径r =.已知B 垂直于纸面向外,某时刻电子在A 点,速度v 向上,如图. (1) 试画出这电子运动的轨道; (2) 求这电子速度v 的大小; (3)求这电子的动能k E . 图 7 在霍耳效应实验中,一宽,长,厚×10-3 cm 的导体,沿长度方向载有的电流,当磁 感应强度大小为B =的磁场垂直地通过该导体时,产生×10-5 V 的横向电压.试求: (1) 载流子的漂移速度; (2) 每立方米的载流子数目. 8 如图所示,载有电流I 的长直导线附近,放一导体半圆环MeN 与长直导线共面,且端点MN 的连线与长直导线垂直.半圆环的半径为b ,环心O 与导线相距a .设半圆环以速度v 平行导线平移.求半圆环内感应电动势的大小和方向及MN 两端的电压 N M U U . 图 9 如图所示,用一根硬导线弯成半径为r 的一个半圆.令这半圆形导线在磁场中以频率f 绕图中半圆的直径旋转.整个电路的电阻为R .求:感应电流的最大值.

相关主题
文本预览
相关文档 最新文档