当前位置:文档之家› 半导体专业词汇

半导体专业词汇

半导体专业词汇
半导体专业词汇

1. acceptance testing (WAT: wafer acceptance testing)

2. acceptor: 受主,如B,掺入Si中需要接受电子

3. ACCESS:一个EDA(Engineering Data Analysis)系统

4. Acid:酸

5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)

6. Align mark(key):对位标记

7. Alloy:合金

8. Aluminum:铝

9. Ammonia:氨水

10. Ammonium fluoride:NH4F

11. Ammonium hydroxide:NH4OH

12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)

13. Analog:模拟的

14. Angstrom:A(1E-10m)埃

15. Anisotropic:各向异性(如POLY ETCH)

16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)

17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)

18. Antimony(Sb)锑

19. Argon(Ar)氩

20. Arsenic(As)砷

21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3)

23. Asher:去胶机

24. Aspect ration:形貌比(ETCH中的深度、宽度比)

25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)

26. Back end:后段(CONTACT以后、PCM测试前)

27. Baseline:标准流程

28. Benchmark:基准

29. Bipolar:双极

30. Boat:扩散用(石英)舟

31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。

32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。

33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。

34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。

35. Chip:碎片或芯片。

36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。

40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。

41. Computer-aided design(CAD):计算机辅助设计。

42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。

43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。

44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。

45. Correlation:相关性。

46. Cp:工艺能力,详见process capability。

47. Cpk:工艺能力指数,详见process capability index。

48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。

49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。

50. Defect density:缺陷密度。单位面积内的缺陷数。

51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)

52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。

53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。

54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。

55. Depth of focus(DOF):焦深。

56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。

57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)

58. developer:Ⅰ)显影设备;Ⅱ)显影液

59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源

60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。

61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。

62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。

63. dielectric:Ⅰ)介质,一种绝缘材料;Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。

64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。

65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。

66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。

67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。

68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的

硅锭前端的深度。

69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。

70. epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导体材料,这一单晶半导体层即为外延层。

71. equipment downtime:设备状态异常以及不能完成预定功能的时间。

72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。

73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。

74. fab:常指半导体生产的制造工厂。

75. feature size:特征尺寸,指单个图形的最小物理尺寸。

76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。

77. film:薄膜,圆片上的一层或多层迭加的物质。

78. flat:平边

79. flatband capacitanse:平带电容

80. flatband voltage:平带电压

81. flow coefficicent:流动系数

82. flow velocity:流速计

83. flow volume:流量计

84. flux:单位时间内流过给定面积的颗粒数

85. forbidden energy gap:禁带

86. four-point probe:四点探针台

87. functional area:功能区

88. gate oxide:栅氧

89. glass transition temperature:玻璃态转换温度

90. gowning:净化服

91. gray area:灰区

92. grazing incidence interferometer:切线入射干涉仪

93. hard bake:后烘

94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法

95. high-current implanter:束电流大于3ma的注入方式,用于批量生产

96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒

97. host:主机

98. hot carriers:热载流子

99. hydrophilic:亲水性

100. hydrophobic:疏水性

101. impurity:杂质

102. inductive coupled plasma(ICP):感应等离子体

103. inert gas:惰性气体

104. initial oxide:一氧

105. insulator:绝缘

106. isolated line:隔离线

107. implant : 注入

108. impurity n : 掺杂

109. junction : 结

110. junction spiking n :铝穿刺

111. kerf :划片槽

112. landing pad n :PAD

113. lithography n 制版

114. maintainability, equipment : 设备产能

115. maintenance n :保养

116. majority carrier n :多数载流子

117. masks, device series of n : 一成套光刻版

118. material n :原料

119. matrix n 1 :矩阵

120. mean n : 平均值

121. measured leak rate n :测得漏率

122. median n :中间值

123. memory n : 记忆体

124. metal n :金属

125. nanometer (nm) n :纳米

126. nanosecond (ns) n :纳秒

127. nitride etch n :氮化物刻蚀

128. nitrogen (N2 ) n:氮气,一种双原子气体

129. n-type adj :n型

130. ohms per square n:欧姆每平方: 方块电阻

131. orientation n:晶向,一组晶列所指的方向

132. overlap n :交迭区

133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应

134. phosphorus (P) n :磷,一种有毒的非金属元素

135. photomask n :光刻版,用于光刻的版

136. photomask, negative n:反刻

137. images:去掉图形区域的版

138. photomask, positive n:正刻

139. pilot n :先行批,用以验证该工艺是否符合规格的片子

140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体

141. plasma-enhanced chemical vapor deposition (PECVD) n:等离子体化学气相淀积,低温条件下的等离子淀积工艺

142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺143. pn junction n:pn结

144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠

145. polarization n:偏振,描述电磁波下电场矢量方向的术语

146. polycide n:多晶硅/金属硅化物,解决高阻的复合栅结构

147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。

151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。

152. pure water n : 纯水。半导体生产中所用之水。

153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。154. quartz carrier n :石英舟。

155. random access memory (RAM) n :随机存储器。

156. random logic device n :随机逻辑器件。

157. rapid thermal processing (RTP) n :快速热处理(RTP)。

158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。

159. reactor n :反应腔。反应进行的密封隔离腔。

160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。

161. resist n :光刻胶。

162. scanning electron microscope (SEM) n :电子显微镜(SEM)。

163. scheduled downtime n : (设备)预定停工时间。

164. Schottky barrier diodes n :肖特基二极管。

165. scribe line n :划片槽。

166. sacrificial etchback n :牺牲腐蚀。

167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。

168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。

169. side load: 边缘载荷,被弯曲后产生的应力。

170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片

171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。

175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。

176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。

177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。

178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚到达特定地带的那个时刻之间的时间。

179. stepper: 步进光刻机(按BLOCK来曝光)

180. stress test: 应力测试,包括特定的电压、温度、湿度条件。

181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。

183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。

185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。

186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。

187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。

189. titanium(Ti): 钛。

190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这

种混合物不溶于水但溶于酒精和大气。

192. tungsten(W): 钨。

193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。

194. tinning: 金属性表面覆盖焊点的薄层。

195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。

196. watt(W): 瓦。能量单位。

197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。

198. wafer process chamber(WPC): 对晶片进行工艺的腔体。

199. well: 阱。

200. wet chemical etch: 湿法化学腐蚀。

201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。

202. via: 通孔。使隔着电介质的上下两层金属实现电连接。

203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。

204. torr : 托。压力的单位。

205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。

206. vacuum: 真空。

207. transition metals: 过渡金属

ACA Anisotropic Conductive Adhesive 各向异性导电胶

ACAF Anisotropic Conductive Adhesive Film各项异性导电胶膜

Al Aluminium 铝

ALIVH All Inner Via Hole 完全内部通孔

AOI Automatic Optial Inspection 自动光学检查

ASIC Application Specific Integrated Circuit 专用集成电路

ATE Automatic Test Equipment 自动监测设备

AU Gold 金

BCB Benzocyclohutene,Benzo Cyclo Butene 苯丙环丁烯

BEO Beryllium Oxide 氧化铍

BIST Built-In Self-Test(Function) 内建自测试(功能)

BIT Bipolar Transistor 双极晶体管

BTAB Bumped Tape Automated Bonding 凸点载带自动焊

BGA Ball Grid Array 焊球阵列

BQFP Quad Flat Package With Bumper 带缓冲垫的四边引脚扁平封装

C4 Controlled Collapsed Chip Connection 可控塌陷芯片连接

CAD Computer Aided Design 计算机辅助设计

CBGA Ceramic Ball Grid Array 陶瓷焊球阵列

CCGA Ceramic Column Grid Array 陶瓷焊柱阵列

CLCC Ceramic Leaded Chip Carrier 带引脚的陶瓷片式载体

CML Current Mode Logic 电流开关逻辑

CMOS Complementary Metal-Oxide-Semiconductor 互补金属氧化物半导体COB Chip on Board 板上芯片

COC Chip on Chip 叠层芯片

COG Chip on Glass 玻璃板上芯片

CSP Chip Size Package 芯片尺寸封装

CTE Coefficient of Thermal Expansion 热膨胀系数

CVD Chemical Vapor Depositon 化学汽相淀积

DCA Direct Chip Attach 芯片直接安装

DFP Dual Flat Package 双侧引脚扁平封装

DIP Double In-Line Package 双列直插式封装

DMS Direct Metallization System 直接金属化系统

DRAM Dynamic Random Access Memory 动态随机存取存贮器

DSO Dual Small Outline 双侧引脚小外形封装

DTCP Dual Tape Carrier Package 双载带封装

3D Three-Dimensional 三维

2D Two-Dimensional 二维

EB Electron Beam 电子束

ECL Emitter-Coupled Logic 射极耦合逻辑

FC Flip Chip 倒装片法

FCB Flip Chip Bonding 倒装焊

FCOB Flip Chip on Board 板上倒装片

FEM Finite Element Method 有限元法

FP Flat Package 扁平封装

FPBGA Fine Pitch Ball Grid Array 窄节距BGA

FPD Fine Pitch Device 窄节距器件

FPPQFP Fine Pitch Plastic QFP 窄节距塑料QFP

GQFP Guard-Ring Quad Flat Package 带保护环的QFP

HDI High Density Interconnect 高密度互连

HDMI High Density Multilayer Interconnect 高密度多层互连

HIC Hybird Integrated Circuit 混合集成电路

HTCC High Temperature Co-Fired Ceramic 高温共烧陶瓷

HTS High Temperature Storage 高温贮存

IC Integrated Circuit 集成电路

IGBT Insulated Gate Bipolar Transistor 绝缘栅双极晶体管

ILB Inner-Lead Bond 内引脚焊接

I/O Input/Output 输入/输出

IVH Inner Via Hole 内部通孔

JLCC J-Leaded Chip Carrier J形引脚片式载体

KGD Known Good Die 优质芯片

LCC Leadless Chip Carrier 无引脚片式载体

LCCC Leadless Ceramic Chip Carrier 无引脚陶瓷片式载体

LCCP Lead Chip Carrier Package 有引脚片式载体封装

LCD Liquid Crystal Display 液晶显示器

LCVD Laser Chemical Vapor Deposition 激光化学汽相淀积

LDI Laser Direct Imaging 激光直接成像

LGA Land Grid Array 焊区阵列

LSI Large Scale Integrated Circuit 大规模集成电路

LOC Lead Over Chip 芯片上引线健合

LQFP Low Profile QFP 薄形QFP

LTCC Low Temperature Co-Fired Ceramic 低温共烧陶瓷

MBGA Metal BGA 金属基板BGA

MCA Multiple Channel Access 多通道存取

MCM Multichip Module 多芯片组件

MCM-C MCM with Ceramic Substrate 陶瓷基板多芯片组件

MCM-D MCM with Deposited Thin Film Inteconnect Substrate 淀积薄膜互连基板多芯片组件

MCM-L MCM with Laminated Substrate 叠层基板多芯片组件

MCP Multichip Package 多芯片封装

MELF Metal Electrode Face Bonding 金属电极表面健合

MEMS Microelectro Mechanical System 微电子机械系统

MFP Mini Flat Package 微型扁平封装

MLC Multi-Layer Ceramic Package 多层陶瓷封装

MMIC Monolithic Microwave Integrated Circuit 微波单片集成电路

MOSFET Metal-Oxide-Silicon Field-Effect Transistor 金属氧化物半导体场效应晶体管MPU Microprocessor Unit 微处理器

MQUAD Metal Quad 金属四列引脚

MSI Medium Scale Integration 中规模集成电路

OLB Outer Lead Bonding 外引脚焊接

PBGA Plastic BGA 塑封BGA

PC Personal Computer 个人计算机

PFP Plastic Flat Package 塑料扁平封装

PGA Pin Grid Array 针栅阵列

PI Polymide 聚酰亚胺

PIH Plug-In Hole 通孔插装

PTF Plastic Leaded Chip Carrier 塑料有引脚片式载体

PTF Polymer Thick Film 聚合物厚膜

PWB Printed Wiring Board 印刷电路板

PQFP Plastic QFP 塑料QFP

QFJ Quad Flat J-leaded Package 四边J形引脚扁平封装

QFP Quad Flat Package 四边引脚扁平封装

QIP Quad In-Line Package 四列直插式封装

RAM Random Access Memory 随机存取存贮器

SBB Stud-Bump Bonding 钉头凸点焊接

SBC Solder-Ball Connection 焊球连接

SCIM Single Chip Integrated Module 单芯片集成模块

SCM Single Chip Module 单芯片组件

SLIM Single Level Integrated Module 单级集成模块

SDIP Shrinkage Dual Inline Package 窄节距双列直插式封装

SEM Sweep Electron Microscope 电子扫描显微镜

SIP Single In-Line Package 单列直插式封装

SIP System In a Package 系统级封装

SMC Surface Mount Component 表面安装元件

SMD Surface Mount Device 表面安装器件

SMP Surface Mount Package 表面安装封装

SMT Surface Mount Technology 表面安装技术

SOC System On Chip 系统级芯片

SOIC Small Outline Integrated Circuit 小外形封装集成电路

SOJ Small Outline J-Lead Package 小外形J形引脚封装

SOP Small Outline Package 小外形封装

SOP System On a Package 系统级封装

SOT Small Outline Transistor 小外形晶体管

SSI Small Scale Integration 小规模集成电路

SSIP Small Outline Single-Line Plug Package 小外形单列直插式封装SSOP Shrink Small Outline Package 窄节距小外形封装

SPLCC Shrinkage Plasitc Leadless Chip Carrier 窄节距塑料无引脚片式载体STRAM Selftimed Random Access Memory 自定时随机存取存贮器

SVP Surface Vertical Package 立式表面安装型封装

TAB Tape Automated Bonding 载带自动焊

TBGA Tape BGA 载带BGA

TCM Thermal Conduction Module 热导组件

TCP Tape Carrier Package 带式载体封装

THT Through-Hole Technology 通孔安装技术

TO Transistor Outline 晶体管外壳

TPQFP Thin Plastic QFP 薄形塑料QFP

TQFP Tape QFP 载带QFP

TSOP Thin SOP 薄形SOP

TTL Transistor-Transistor Logic 晶体管-晶体管逻辑

UBM Metalization Under Bump 凸点下金属化

UFPD Ultra Small Pitch Device 超窄节距器件

USOP Ultra SOP 超小SOP

USONF Ultra Small Outline Package Non Fin 无散热片的超小外形封装

UV Ultraviolet 紫外光

VHSIC Very High Speed Integrated Circuit 超高速集成电路VLSI Very Large Scale Integrated Circuit 超大规模集成电路WB Wire Bonding 引线健合

WLP Wafer Level Package 圆片级封装

WSI Wafer Scale Integration 圆片级规模集成

WB Wire Bonding 引线健合

WLP Wafer Level Package 圆片级封装

WSI Wafer Scale Integration 圆片级规模集成

半导体名词解释

1. 何谓PIE PIE的主要工作是什幺 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义 答:8吋硅片(wafer)直径为200mm , 直径为300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低200→300 面积增加倍,芯片数目约增加倍 5. 所谓的um 的工艺能力(technology)代表的是什幺意义 答:是指工厂的工艺能力可以达到um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从>>>> 的technology改变又代表的是什幺意义 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从-> -> -> -> 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓N, P-type wafer 答:N-type wafer 是指掺杂negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module) 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

半导体专业词汇

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

半导体词汇汇总

半导体词汇 半导体词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬

半导体用语

半导体用语

————————————————————————————————作者: ————————————————————————————————日期: ?

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test探针测试 Probe card探针板 Contact连接 ProbeTip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary总结 R&D:Researchand Development研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP八层 Pad out BackGrind背研磨 WaferGrindBack Grind 磨片 Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para.:Keyparameter 关键参数 Cycling 写入次数、循环次数 Retention 保留时间 Non-V olatile memory Volatile memory Read读 Write写 Refresh 更新 Speed速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:WaferBurn-In PT1H:Probe Test1HotTest PT1C:Probe Test 1 ColdTest

计算机常用英语词汇大全

、 计算机常用英语词汇大全 CPU(Center Processor Unit)中央处理单元 mainboard主板 RAM(random access memory)随机存储器(内存) ROM(Read Only Memory)只读存储器 Floppy Disk软盘 Hard Disk硬盘 CD-ROM光盘驱动器(光驱) , monitor监视器 keyboard键盘 mouse鼠标 chip芯片 CD-R光盘刻录机 HUB集线器 Modem= MOdulator-DEModulator,调制解调器 P-P(Plug and Play)即插即用 , UPS(Uninterruptable Power Supply)不间断电源 BIOS(Basic-input-Output System)基本输入输出系统 CMOS(Complementary Metal-Oxide-Semiconductor)互补金属氧化物半导体

setup安装 uninstall卸载 wizzard向导 OS(Operation Systrem)操作系统OA(Office AutoMation)办公自动化、 exit退出 edit编辑 copy复制 cut剪切 paste粘贴 delete删除 select选择 find查找 · select all全选 replace替换 undo撤消 redo重做 program程序 license许可(证) back前一步 next下一步

] finish结束 folder文件夹 Destination Folder目的文件夹 user用户 click点击 double click双击 right click右击 settings设置 … update更新 release发布 data数据 data base数据库 DBMS(Data Base Manege System)数据库管理系统view视图 insert插入 object对象 ; configuration配置 command命令 document文档 POST(power-on-self-test)电源自检程序 cursor光标

半导体专业用语

金属前介质层(PMD)金属间介质层(IMD)W塞(W PLUG) 钝化层(Passivation) acceptor 受主,如B,掺入Si中需要接受电子Acid:酸 actuator激励 ADI After develop inspection显影后检视 AEI After etching inspection蚀科后检查 AFM atomic force microscopy 原子力显微Alignment Alloy:合金 Aluminum Ammonia amplifier AMU Analog Angstrom: Anisotropic arc chamber ARC: Argon(Ar)氩 Arsenic(As) Arsine(AsH) ASHER Asher ASI ASIC Aspect ration ATE 自动检测设备 Back end:后段(CONTACT以后、PCM测试前)Backside Etch 背面蚀刻 Backside 晶片背面 Baseline:标准流程 Beam-Current 电子束电流 Benchmark:基准 BGA ball grid array 高脚封装 Bipolar:双极 Boat:扩散用(石英)舟Cassette 装晶片的晶舟 CD:critical dimension 关键性尺寸,临界尺寸Chamber 反应室 Chart 图表 Child lot 子批 chiller 制冷机 Chip (die) 晶粒 Doping 掺杂 Dose 剂量 Downgrade 降级 DRC design rule check 设计规则检查 Dry Clean 干洗 Due date 交期 Dummy wafer 挡片 E/R etch rate 蚀刻速率 EE 设备工程师 ELS extended life source 高寿命离子源enclosure 外壳

最完整的计算机中的常用英语单词大全

A Active-matrix主动距陈 Adapter cards适配卡 Advanced application高级应用Analytical graph分析图表 Analyze分析 Animations动画 Application software 应用软件Arithmetic operations算术运算 Audio-output device音频输出设备Access time存取时间 access存取 accuracy准确性 ad network cookies广告网络信息记录软件 Add-ons附软件 Address地址 Agents代理 Analog signals模拟信号 Applets程序 Asynchronous communications port异步通信端口 Attachment附件 B Bar code条形码 Bar code reader条形码读卡器 Basic application基础程序 Binary coding schemes二进制译码方案Binary system二进制系统 Bit比特 Browser浏览器 Bus line总线 Backup tape cartridge units备份磁带盒单元 Bandwidth带宽 Bluetooth蓝牙 Broadband宽带 Browser浏览器 Business-to-business企业对企业电子商务 Business-to-consumer企业对消费者Bus总线C Cables连线 Cell单元箱 Chain printer链式打印机 Character and recognition device字符标识识别设备 Chart图表 Chassis支架 Chip芯片 Clarity清晰度 Closed architecture封闭式体系结构Column列 Combination key结合键 computer competency计算机能力connectivity连接,结点 Continuous-speech recognition system 连续语言识别系统 Control unit操纵单元 Cordless or wireless mouse无线鼠标Cable modems有线调制解调器 carpal tunnel syndrome腕骨神经综合症CD-ROM可记录光盘 CD-RW可重写光盘 CD-R可记录压缩光盘 Channel信道 Chat group谈话群组chlorofluorocarbons(CFCs) ]氯氟甲烷Client客户端 Coaxial cable同轴电缆 cold site冷战 Commerce servers商业服务器Communication channel信道Communication systems信息系统Compact disc rewritable Compact disc光盘 computer abuse amendments act of 19941994计算机滥用法案 computer crime计算机犯罪 computer ethics计算机道德 computer fraud and abuse act of 1986计算机欺诈和滥用法案 computer matching and privacy protection act of 1988计算机查找和隐

半导体用语

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter 晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test 探针测试 Probe card 探针板 Contact 连接 Probe Tip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary 总结 R&D:Research and Development 研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP 八层 Pad out Back Grind 背研磨 Wafer Grind Back Grind 磨片Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para. :Key parameter 关键参数Cycling 写入次数、循环次数Retention 保留时间 Non-V olatile memory V olatile memory Read 读 Write 写 Refresh 更新 Speed 速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:Wafer Burn-In PT1H:Probe Test 1 Hot Test PT1C:Probe Test 1 Cold Test

光学专业常用英语词汇

光学专业常用英语词汇photoelectric liquid-level indicator 光电液位 指示器 photoelectric encoder 光电译码器photocathode 光电阴极 photoelectric cathode photoelectric cell 光电 阴极光电管 photoelectric fluorometer 光电荧光计 optical-electronic mail address recognizer 光 电邮件地址识别机 photoelectric threshold 光电阈 photoelectric cell 光电元件 photoelement 光电元件 photounit 光电元件 photoelectric reader 光电阅读器 photoreader 光电阅读器 photoelectric chopper 光电斩波器 photoelectric lighting control 光电照明控制electro-optical rectifier 光电整流器photoelectric direct reading spectrometer 光 电直读光谱计

photoelectric guidance 光电制导 photoelectric transit instrument 光电中星仪 photoelectric clock 光电钟 photoelectric translating system 光电转换系统photoelectric conversion efficiency 光电转换 效率 photoelectrical refrigeration 光-电转换制冷 photoelectric tachometer 光电转速计 photoelectronics 光电装置 photoelectric turbidimeter 光电浊度计 photonephelometer 光电浊度计 photoelectron 光电子 photoelectric yield 光电子产额 optical electronic reproducer 光电子唱头 optoelectronic memory 光电子存储 optoelectronic storage 光电子存储 optoelectronic storage 光电子存储器 photoelectronic 光电子的 photoelectric emission 光电子发射 photoelectron emission spectroscopy 光电子

半导体物理--专业术语英汉对照-复习版

1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell原胞 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命 48 space charge region 空间电荷区 49 depletion width 耗尽宽度 50 saturation drift velocity 饱和迁移速度

相关主题
文本预览
相关文档 最新文档