当前位置:文档之家› 数字电路B复习201106

数字电路B复习201106

数字电路B复习201106
数字电路B复习201106

《数字电路与逻辑设计B 》复习

一.

1.

2.

3.

8/3线优先编码器74148功能表

4. 3/8线译码器(典型芯片74138)

5. 表4.2.7 二–十进制译码器7442的功能表

6. 四选一数据选择器(典型芯片:74153为双四选一MUX)

功能表

7. 八选一数据选择器 真值表

74157(四二选一数据选择器)

8. 4位数值比较器7485功能表

0 1 1

1 0 01 0 0A 0=B 0A 1=B 1A 2=B 2A 3=B 30 1 00 1 0A 0=B 0A 1=B 1A 2=B 2A 3=B 30 0 10 0 1A 0=B 0A 1=B 1A 2=B 2A 3=B 3 1 1 00 0 0

A 0=

B 0A 1=B 1A 2=B 2A 3=B 30 0 1A 0=B 0A 1=B 1A 2=B 2A 3=B 30 0 11 0 1A 0=B 0A 1=B 1A 2=B 2A 3=B 30 0 01 1 0A 0=B 0A 1=B 1A 2=B 2A 3=B 30 0 1

1 1 1

A 0=

B 0

A 1=

B 1A 2=B 2A 3=B 30 1 0?

?

?

A 0<

B 0A 1=B 1A 2=B 2A 3=B 3 1 0 0???A 0>B 0A 1=B 1A 2=B 2A 3=B 30 1 0???????

A 2<

B 2

A 3=

B 3 1 0 0???????A 2>B 2A 3=B 3 1 0 0?????A 1>B 1A 2=B 2A 3=B 30 1 0?????

A 1<

B 1

A 2=

B 2A 3=B 3A 3

A 3>

B 3A 3B 3??

??A 2B 2????A 1B 1????A 0B 00 1 0??? 1 0 0???F A >B F A B )i (A

9. 四位超前进位全加器 典型芯片为74283

四位全加器74283的功能表示

1

23412341

234S S S S CO CI B B B B A A A A

10. 74175芯片除了功能表所示的异步清除?送数和保持之外,还可以实现移位功能。

11. 四位二进制(M=16)可预置同步加法计数器

74LS161

74161 的功能表

= 0

?

????0?

11保持

?????10

1

1计数

0000 ~1111????↑1111同步并入d 0

d 1d 2d 3d 0d 1d 2d 3↑??01异步清除0

000????????0功能D 0D 1D 2D 3CP T (S 2)P (S 1)L D

CR Q 3n Q 2n Q 1n Q 0

n

Q CC

n n Q 3

n Q 2Q 1n Q 0n

Q CC n

Q 3n+1Q 2n+1Q 1n+1Q 0

n+1

74LS163(四位二进制同步加法计数器)

相同之处: 逻辑符号?芯片引脚及逻辑功能均和74LS161相同。

不同之处:74LS163是同步清“0”, 74LS161是异步清“0”。

12. MSI 移位寄存器

74194 的功能表

×

×

×

×

×

1

1

1××××1×↑101左移

×××××0↑0111×××××1↑011右移

×××××××001××××××0××1保持

d 3

d 2

d 1

d 0

d 3d 2d 1d 0××↑111并入0000×××××××

×

×

清除D 3D 2D 1D 0D SL D SR CP M 1M 0CR 功能Q 0n

Q 1n Q 2n Q 3n Q 0n+1Q 1n+1Q 2n+1Q 3n+1

Q 0n Q 1n Q 2n Q 0n

Q 1n

Q 1n Q 1n Q 2

n

Q 2n Q 2n

Q 3

n Q 3n

国家标准规定:逻辑图中的最低有效位(LSB )到最高有效位(MSB )的电路排列顺序应为从上到下;从左到右。

因此,定义移位寄存器中的数据从低位触发器移向高位触发器为:右移;

从高位触发器移向低位触发器为:左移。

注:这一点,与计算机程序中的规定相反。

计算机程序从二进制数的自然排列考虑,将数据由低位移向高位定义为左移;

将数据由高位移向低位为右移。

13. 十进制计数器

一. 填空选择题

1. 按十进制数0,1,2,3的顺序写出对应两位循环码的码型00、01、11、10。 一个10位的二进制数最大可表示的十进制数是( 1023 )。 信息码1100的奇校验码是( 11001 )。 任意两个最小项的乘积恒等于____0__。

2. (37)10 = (0011 0111)8421BCD = (0011 1010)5421BCD 。

(10011.0011)2 = ( 23.140 )8,要求转换精度不低于1%。(lg2=0.3,)

解:,10%182--=≤β ,28lg -≤-β

lg2=0.3, 3=∴β

3.

C D A

4. 2时,输出电

压为 C 。 (29+28+2) / (210-1)×10.23V =7.69V A .2.56 B .5.12 C .7.7 D .8.58

5. 在A/D 转换器中,已知?是量化单位,若采用“四舍五入”方法划分量化电平,则最大量化误差

为 B ?。

A .1/4

B .1/2

C .1

D .2

6. 信息可随时读出或写入,断电后信息立即全部消失的存储器是 B 。 ` A .ROM B .RAM C .PROM D .Flash Memory

7. 已知某存储器芯片有地址线12条,数据线8条,则该存储器的存储容量是 D 位。 212×8

A.1024×8

B.4096×4

C.2048×8

D.4096×8 8. 若用ROM 实现“两个三位二进制数相乘的乘法器”,则ROM 的容量至少应为384 (或26×6)。 9. PAL 器件在结构上的特点是:与阵列 可编程 、或阵列 固定 。 10. GAL16V8的与阵列总共可实现 64(或8 × 8) 个乘积项。

二. 用卡诺图法化简F(A,B,C,D)=∑m (0,1,4,7,9,10,13) +∑φ (2,5,8,12,15)为最简与或表达式。

解: F = D B BD C ++

三. 设A=A3 A2 A1 A0,B=B3 B2 B1 B0是两个4位二进制数。试用一片7485和一片74157(四二选一MUX)构成一个比较电路并能将其中大数输出,试画出逻辑图。(不允许附加其他器件)

答案一:答案二:

答案三:答案四:

四. 电路及其输入波形如图2所示,试画Q端的波形。

①②③④⑤⑥

1分 2分 2分 2分 2分 1分

图2

{{{{{

{

CP

A

D

S

五. 已知多输出组合电路的输出函数表达式如下:

=)15,14,13,12,10,8,7,6,5,2(),,,(1m D C B A F

2

(,,,)(2,6,7,9,11,13,15)F A B C D m =∑

若用PLA 实现该电路,且要求电路最简, 请写出设计过程并将图4中PLA 的阵列结构图画完整。

PLA

,扣1分。

BD D A D C F ++=1 BCD AD D C A F ++=2 表达式3分 表达式3分

1 2

B C D

图4

六.分析图5所示电路,试画出状态转移图,并说明能否自启动(设初态为Q 3 Q 2 Q 1 Q 0=1111)

(Q 2 )n+1 =(D 2 )n+1= (Q 1 )n ;

(Q 1 )n+1 = (D 1 )n+1= (Q 0 )n ;

(Q 0 )n+1 = (D 0 ) n+1=(Q 2 )n ⊕(Q 1 )n 。

000的下一个状态是 000, 不能自启动。

CP ↑ D 2 D 1 D 0 Q 2 Q 1 Q 0 Ф Ф Ф Ф 1 1 1 1 1 1 0 1 1 0 2 1 0 0 1 0 0 3 0 0 1 0 0 1 4 0 1 0 0 1 0 5 1 0 1 1 0 1 6 0 1 1 0 1 1 7 1 1 1 1 1 1

七. 试根据表1所示原始状态转移表完成以下要求 ①作该原始状态转移表的状态化简隐含表;

②在该原始状态转移表的状态中共有 1 个等价对,它们分别是 DE ;

③在该原始状态转移表的状态中有 4 个最大等价类,它们分别是 A ,B ,C ,DE 。

表1

CP

图5

八. 试用74194设计一个产生序列码为110100,……且能自启动的移存型序列码发生器,要求:填写下面的综合表,并导出74194 的D SL 的最简与或表达式。

Q 1 Q 2 Q 3 D SL 综合表,有效循环有六个状态,

1 1 0 1

1 0 1 0 0 1 0 0 1 0 0 1 0 0 1 1 0 1 1 0

D SL =3121Q Q Q Q +或者n n n

n Q Q Q Q 3121+

1 1 0 1 0 0 1 1 0

1 1 0 1 1 0 1 0

0 1 0 0 1 0 0 1 0 0 1 1 0 1 1 0

D SL 左移:Q 3→Q 2→Q 1 D SL 的卡诺图

九. 已知DFF 及PLA 组成的电路如图5所示,作全状态转移图,分析逻辑功能。

图5

001 010

011

100

101 110 111

十. 试用整体预置零法在图4增加适当的连线,构成同步二十四进制计数器(注:图中与非门的输入端数视需要而定)。

1

1

图4

74LS161是异步清“0”。

用整体预置零法,同步置数(同步并入)。

十一. 某汽车驾驶员培训班进行结业考试,有三名评判员,其中A 为主评判员,B 和C 为副评判员。在评判时按照少数服从多数原则通过,但只要主评判员认为合格就算通过,在双轨输入条件下用最少与非门实现该电路。

A B C Y 1 Φ

Φ 1 0 1 1 1 0 1 0 0 0 0 1 0 0 0 0 0

BC A BC A C B A F ?=+=),,(

填写真值表、卡诺图、画卡诺圈,写表达式,画电路图。

A

B C

十三. 试只用一片数据比较器7485和一片全加器74283设计一个组合逻辑电路, 将(A 3A

2A 1A 0)8421BCD 转换为(Y 3Y 2Y 1Y 0)5421BCD 。

A 0

A 1 A 2 A 3 0

0 1 0

0 0 A 0 0 A 1 1 A 2 0 A 3

若4≤A 则0+=A Y

若4>A 则3+=A Y

Y 0 Y 1 Y 2 Y 3

十四. 已知两级门电路如图2所示。

1、 当信号ABCD 作11010100?变化时会产生____功能___冒险(逻辑冒险、功能冒险),

当信号ABCD 作11100111?变化时会产生___

逻辑___冒险(逻辑冒险、功能冒险)。

2、 试用增加多余项法消除该电路的逻辑冒险(须在电路图上增加逻辑门)

。 3、 试用脉冲取样法避免冒险(须在电路图上标出取样脉冲所加的位置和极性)。

C A

D B CD F ++=

AD BC C A D B CD F ++++=*

或 AB AD BC C A D B CD F +++++=*

()

CP C A CP D B CP CD CP C A D B CD F ?+?+?=++=*

? ?1分

十五. 由与非门构成的基本SR 触发器的逻辑符号、输入波形如图3所示,根据S D 、R D 输入波形画出Q 、Q 的波形。设触发器的初态为0。

Q S D

D

Q Q

Q

D R 图3

数字电路知识点汇总精华版

数字电路知识点汇总(东南大学) 第1章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A=?1A A+1=1与00=?A A A +=1与A A ?=0 2)与普通代数相运算规律 a.交换律:A+B=B+A A B B A ?=? b.结合律:(A+B)+C=A+(B+C) )()(C B A C B A ??=?? c.分配律:)(C B A ??=+?B A C A ? ))()(C A B A C B A ++=?+) 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B A B A ?=+,B A B A +=? b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C B A C B A ⊕?+⊕? 可令L=C B ⊕ 则上式变成L A L A ?+?=C B A L A ⊕⊕=⊕ 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1=+A A 或A B A B A =?=?,将二项合并为一项,合并时可消去一个变量 例如:L=B A C C B A C B A C B A =+=+)( 2)吸收法 利用公式A B A A =?+,消去多余的积项,根据代入规则B A ?可以是任何一个复杂的逻辑式 例如 化简函数L=E B D A AB ++ 解:先用摩根定理展开:AB =B A + 再用吸收法 L=E B D A AB ++

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

数字电路总结

数字电路总结 第一章数制和编码 1.能写出任意进制数的按权展开式; 2.掌握二进制数与十进制数之间的相互转换; 3.掌握二进制数与八进制、十六进制数之间的相互转换; 4.掌握二进制数的原码、反码及补码的表示方法; 5.熟悉自然二进制码、8421BCD码和余3 BCD码 6.了解循环码的特点。 第二章逻辑代数基础 1.掌握逻辑代数的基本运算公式; 2.掌握代入规则,反演规则,对偶规则; 熟悉逻辑表达式类型之间的转换---“与或”表达式转化为“与非”表达式; 3.熟悉逻辑函数的标准形式---积之和(最小项)表达式及和之积(最大项)式表达式。(最小项与最大项之间的关系,最小项表达式与最大项表达式之间的关系)。 4.了解正逻辑和负逻辑的概念。 第三章:数字逻辑系统建模 1.熟悉代数法化简函数 (A +,B A= AB = +, C A+ A B A = + AB+ +, A+A=A AA=A ) A AB A BC C 2.掌握图解法化简函数 3.了解列表法化简函数(Q-M法的步骤) 4.能够解决逻辑函数简化中的几个实际问题。 a. 无关项,任意项,约束项的处理; b. 卡诺图之间的运算。 5.时序逻辑状态化简 掌握确定状态逻辑系统的状态化简; 了解不完全确定状态逻辑系统的状态化简。 第四章:集成逻辑门 1.了解TTL“与非”门电路的简单工作原理; 2.熟悉TTL“与非”门电路的外特性:电压传输特性及几个主要参数,输出高电平,输出低电平、噪声容限、输入短路电流、扇出系数和平均传输延迟时间。 3.熟悉集电集开路“与非”门(OC门)和三态门逻辑概念,理解“线与”的概念;4.掌握CMOS“与非”门、“或非”门、“非”门电路的形式及其工作原理。 5.熟练掌握与、或、非、异或、同或的逻辑关系。 7.掌握R-S、J-K、D、T触发器的逻辑功能、特征方程、状态转换图、状态转换真值表。 不要求深入研究触发器的内部结构,只要求掌握它们的功能,能够正确地使用它们;8.了解触发器直接置“0”端R D和直接置“1”端S D的作用。 9.了解边沿触发器的特点;

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

模拟电路与数字电路期末复习试卷

模拟电路试卷一 一.填空题 1.结未加外部电压时,扩散电流漂流电流,加正向电压时,扩散电流漂流电流,其耗尽层;加反向电压时,扩散电流漂流电流,其耗尽层。 2.三极管工作在饱和区时,发射结为,集电结为,工作在放大区时,发射结为,集电结为,此时,流过发射结的电流主要是,流过集电结的电流主要是。 3.场效应管属于控制器件。场效应管从结构上分成和两大类型。 4.绝缘栅型场效应管又分为和,两者区别是 。 5.若希望减小放大电路从信号源索取的电流,应采取反馈;若希望取得较强的反馈作用而信号源内阻又很大,应采用反馈;当负载变化时,若希望输出电流稳定。应采用反馈。 6.某负反馈放大电路的闭换放大倍数100,当开环放大倍数A 变化+10%时,的相对变化量在+0.5%以内,则这个放大电路

的开环放大倍数A ,反馈系数为。 二.选择题 1.温度升高后,在纯净的半导体中() A.自由电子和空穴数目都增多,且增量相同 B.空穴增多,自由电子数目不变 C.自由电子增多,空穴不变 D.自由电子和空穴数目都不变 2.如果结反向电压的数值增大(小于击穿电压),则()A.阻当层不变,反向电流基本不变 B.阻当层变厚,反向电流基本不变 C.阻当层变窄,反向电流增大 D.阻当层变厚,反向电流减小 3.某放大电路在负载开路时的输出电压为4V,接入3kΩ的负载电阻后输出电压降为3V,这说明放大电路的输出电阻为() A. 10kΩ B. 2kΩ C. 1kΩ D. 0.5kΩ 4.在放大电压信号时,通常希望放大电路的输入电阻和输出电阻分别为() A. 输入电阻小,输出电阻大 B. 输入电阻小,输出电阻小 C. 输入电阻大,输出电阻小

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

数字电路期末总复习知识点归纳详细.doc

第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ?1A A+1=1与0 ?A 0= A?=0 A+=1与A A 2)与普通代数相运算规律 a.交换律:A+B=B+A ? A? = B A B b.结合律:(A+B)+C=A+(B+C) A? B ? C ? = ? ) A ( ) B (C c.分配律:) ?=+ A? (C B A? A C ?B A+ + +) B ? = A )() ) (C A B C 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A+ B ? A = A B A? = +,B

b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C ? ⊕ ? A⊕ + A C B B 可令L=C B⊕ 则上式变成L ?=C + A A? L = ⊕ ⊕ A⊕ B A L 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1 A= ? ?, 将二项合并为一项,合并时可消去一个变量 B = A = A或A +A B 例如:L=B B C + ( A +) = A= A B C C A C B 2)吸收法 利用公式A A?可以是任何一个复杂的逻辑? +,消去多余的积项,根据代入规则B A B A= 式 例如化简函数L=E AB+ + A D B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E AB+ A + B D =E + + B A+ B D A =) A A+ + D + B ( ) (E B =) A A+ D + + 1(E 1( ) B B

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电子技术基础第五版期末知识点总结 (1)

数电课程各章重点 第一、二章 逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( 例 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下:

第三章 门电路知识要点 各种门的符号,逻辑功能。 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。 习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目 第四章 组合逻辑电路知识要点 组合逻辑电路的分析、设计,利用集成芯片实现逻辑函数。 (74138, 74151等) 一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 二、 组合逻辑电路的分析方法(按步骤解题) 三、 若干常用组合逻辑电路 译码器(74LS138) 全加器(真值表分析) 数据选择器(74151和74153) 四、 组合逻辑电路设计方法(按步骤解题) 1、 用门电路设计 2、 用译码器、数据选择器实现 例3.1 试设计一个三位多数表决电路

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

《数字电子技术》总结复习

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)门电路典型高电平为3.6 V,典型低电平为0.3 V。 3)门和门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。5)门电路参数:噪声容限或、扇出系数、平均传输时间。 要求:掌握八种逻辑门电路的逻辑功能;掌握门和门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:,则输出Y见上。3.基本逻辑运算的特点:

与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零; 非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。

数字电子技术基础学习总结

数字电子技术基础学习总结 光阴似箭,日月如梭。有到了这个学期的期末,对我来说又是一次对知识的大检查。 这学期总共学习了4章,分别是数字逻辑基础、逻辑门电路基础、组合逻辑电路、触发器。 在第一章学习数字逻辑基础包括模拟信号与数字信号、数字电路、数制、各种数制之间的转换和对应关系表、码制(BCD码、格雷码、ASCII码)、逻辑问题的描述(这个是重点)、逻辑函数的五种描述方法、逻辑函数的化简; 在数制里学习四种进制十进制、二进制、八进制、十六进制;十进制是逢十进一,二进制是逢二进一,在八进制中只是二进制的一种简便表示方法而已,它的规律是逢八近一,而十六进制有09ABCDEF十六个数码这个要记住和一些算法。 比如十进制的534,八进制为1026,过程为: 534/8=66,余数为6; 66/8=8,余数为2; 8/8=1,余数为0; 1/8=0,余数为1;

仍然是从下往上看这些余数,顺序写出,答案为1026 所以在数制的之间转换有5种转换,10和2转换(除2取余数法,如上题一样),10和8转换对整数除8取余,对小数点乘8取整。10和16转换对整数除16取余,对小数点乘16取整,2和8转换对应关系3位二进制对应1位八进制可看对应关系图。2和16转换4位二进制对应1位十六进制数,可看对应关系图。 在码制的学习中学习了3种码BCD码、格雷码、ASCII码。 BCD码:用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码,还有几个常用的BCD码:8421(常用)、5421、2421、余3。 如8421码321的8421码就是(查表) 3 2 1 0011 0010 0001 原因:0011=8x0+4x0+1x2+1x1=3 、 0010=8x0+4x0+2x1+1x0=2、0001=8x0+4x0+2x0+1x1=1; 格雷码:有两个特点1相邻性2循环性。

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电子技术总结复习

数字电子技术总结复习集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= ( )2= ( )16= ( )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为 V,典型低电平为 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C + = =,则输出Y见上。 + Y+ A A B B C 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成 “+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持

数字电路设计试题湖南大学版完整版

数字电路设计试题湖南 大学版 集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者XX’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快为什么 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为

数电学习数字电路学习心得体会

数电学习数字电路学习心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下, 到底学了哪些东西呢?如果不看书的话,真有点记不住学习内容的先 后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,都是一些基础的东西,没有多大的难度,学习起来也相对轻松。

第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发器,JK触发器,每种触发器有不同的功能,其次,触发器还有不同的触发方式,很容易弄混淆,

文本预览
相关文档 最新文档