当前位置:文档之家› 数字电路期末复习含答案

数字电路期末复习含答案

数字电路期末复习含答案
数字电路期末复习含答案

数字集成电路

一、填空题

1. “全1出0,有0出1”描述的逻辑关系是 _与非逻辑_。

2. ABC 101 时,函数Y AB BC 之值为丫_1 _。

3. 逻辑函数的表示方法有真值表、逻辑表达式、逻辑图、波形图、卡诺图五种。

4. A―B AB,AB A B,A AB A+B ,AB AB A。

5. 常用的集成组合逻辑电路有 _编码器、译码器、数据选择器 _等。

6. 编码器的功能是将输入信号转化为 _二进制代码输出 _。

7. 对于时序逻辑电路来说,某一时刻电路的输出不仅取决于当时的_输入状态—,而且

还取决于电路—原来的状态_。所以时序电路具有_记忆—性。

8?计数器的主要用途是对脉冲进行—计数_,也可以用作—分频_和_定时—等。

9. 用n个触发器构成的二进制计数器计数容量最多可为_2n-1_。

10. 寄存器可分成—数码—寄存器和—移位—寄存器。

11. 寄存器主要用来暂时存放」码或信息—,是一种常用的时序逻辑部件。

12. 一个触发器可以构成_1_位二进制计数器,它有_2—种工作状态,若需要表示n 位二进制数,则需要_n_个触发器。

13. 在计数器中,当计数脉冲输入时,所有触发器同时翻转,即各触发器状态的改变是

同时进行的,这种计数器称为—同步计数器_。

14. 施密特触发器具有—回差—现象,又称 _滞回_特性。

15. 单稳态触发器最重要的参数为 _脉冲宽度多谐振荡器最重要参数为振荡周期_。

16. 常见的脉冲产生电路有 _多谐振荡器一,常见的脉冲整形电路有 _单稳态触发器_、 _施密特触发器—。

17. 施密特触发器有_两_个稳态,单稳态触发器有 _一_个稳态,多谐振荡器有零—个稳态。

18. 单稳态触发器输出脉冲宽度由 _定时元件参数_决定;而施密特触发器输出脉冲宽度由—输入信号_决定。

19. 施密特触发器的主要用途有波形变换、整形、脉冲幅度鉴别、构成多谐振荡器—等。

二、选择题

1. Y ABC A C BC

A. Y B

B.,当A C 1时, D 。

Y B C.Y 0 D. Y1

2.要组成六进制计数器, 至少应有A个触发器。

A. 3 个

B. 4个

C.5个

D. 6 个

3.寄存器由 C 组成。

A.门电路

B.触发器

C.触发器和具有控制作用的门电路

4.多谐振荡器可产生 B 。

A.正弦波

B.矩形脉冲

C

.

三角波 D.锯齿波

5.石英晶体多谐振荡器的突出优点是C。

A.速度咼

B.电路简单

C

.振荡频率稳定 D.输出波形边沿陡峭

6.单稳态触发器不能 D 。

A.定时

B.延时

C.整形

D.计数

三、判断题(正确的打",错误的打X )

1. 广义地说,凡不连续的非正弦电压、电流都可以称为脉冲信号。 (V )

2. 如果 ABAC ,则 B C o ( X )

3. 构成计数器电路的器件必须具有记忆功能。

(V )

4. 寄存器存储输入二进制数码或信息时,是按寄存指令要求进行的。 (V )

5. 8421码十进制加法计数器处于

1001状态时,应准备向高位发进位信号。 (V )

6.

驱动共阴极LED 显示器的译码器输出为高电平有效;而驱动共阳极 LED 显示器的译

码器输出为低电平有效。( V )

7. 二进制译码器和二-十进制译码器,对应于一个输入代码,只有唯一一个输出端输出 有效电平。(V )

8. 计数器在计数过程中触发器翻转次序, 把计数器分为同步计数器和异步计数器。

(V )

9. 施密特触发器有两个稳态。 (V )

10. 优先编码器在同一时刻允许输入多个请求编码信号,

并对所有请求信号编码。(X )

11. 待编码信号数为 m 个,输出代码位数为n 位,它们的关系一定要满足 m 2n o ( X ) 12. 能将输入信号转变为二进制代码的电路称为译码器。 (X )

13. 数据选择器是一个单输入、多输出的组合逻辑电路。 (X )

四、综合题

1.现有三个车间,每个车间各需10kW 电力,这三个车间由两台发电机组供电, 一台功

率为10kW 另一台功率为 20kW 三个车间经常不同时工作。试用与非门和异或门设计一个 逻辑电

路,能够根据各车间的工作情况,以最节约电能的方式自动完成配电任务。 解:

(2)

Y

ABC ABC ABC ABC 丫2 ABC ABC ABC ABC A(B C) A(B C) AB AC BC

ABC

AB AC BC

7. 属于无稳态电路的是 A 。

A.多谐振荡器 B ?下稳态触发器 8. 有两个触发电平的电路是 _C _。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器 C.施密特触发器

D.基本RS 触发器 D.简单电压比较器

(1)

3

2. 用集成译码器74LS138和4输入与非门实现表 2所示真值表的逻辑功能。先写出逻 辑表达式,

输入

输出

ABC S

Sa

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1

1 1

再画出逻辑电路图。

(1)

A BC ABC

丫 1 丫 2 丫 4

AB C ABC

S ABC ABC ABC ABC

(2)

ABC

3.分别用 8选1数据选择器和4选1数据选择器实现逻辑函数

Y AC BC ,画出逻辑图。

解:

10

11

A 2 A 1 A o

74LS151

ST

D o D 1 D 2 D 3 D 4 D 5 D 6 D 7

=1

S i S 2

+5V

4L 3 2】15 14「131 12

3真值

(1)

4

Y AC BC

ABC ABC ABC m 3 m 5 m 7

(2)

Y AC BC

ABC ABC ABC

AB 0 AB C AB C AB C

达式,(3)用4选1数据选择器实现电路。

5.试分析图4所示电路的逻辑功能。

解:

Y A B AC BC

输入

输出 AB AC BC

A B C Y 0 0 0 0

0 0 1 0 (3)

0 1 0 0 ~亠

A 丰 y-h.-W i=h H 夂 0 1 1 1 -三人表决器电路

1 0 0 0

1 0 1 1

1 1 0 1

1

1

1

1

(1)

4选1

£ MUX

B — A 0

Y

0 — D 0

D 1

— D 2

— D 3

4.设计一个电路实现图

3所示的逻辑功能。要求: (1)列出真值表,(2)写出函数表

解:

(1

输入

输出

A B Y

0 0 0 0 1 1 1

0 1 1

1

AB

AB

0 二

1 - 1 - 0 -

A 0 D o D 1 D 2 D 3

C E 4选1

A 1 MUX A B

C

图4电路分析题1用图

6.试分析图5所示电路的逻辑功能。

B

n _

J

图5 电路分析题2用图

1

> 1

> 1

解:

(1)

(2)

Y A B A B

(A B)(A B) AB AB

(3)二变量同或电路 输入 输出 A B Y 0 0 1 0 1 0 1

0 0 1

1

1

7.二-十进制译码器74LS42按图7连接。请列出电路的真值表,说明电路的逻辑功能。

使能输入 A B

地 f ?A 一

址 码 A —

输 入

A o

地址码输入

74LS42

解: 由真值表可知,电路实现3线-8线 译码器的逻辑功能。 Y o

Yi Y2 Y3

Y 4 Y 5

丫6

Y 7

.闲置

使能端 输入

输岀

A 3 A 2 A 1 A 0 Y 0

~1 Y 2

丫3 丫 4 丫 5 丫 6 Y 7

0 0 0 0 1

1 1 1 1 1 1

0 0 1 1

0 1 1 1 1 1 1

0 1 0 1

1 0 1 1 1 1 1 译 0 0 1 1 1

1 1 0 1 1 1 1 码 1 0 0 1 1 1 1 0 1 1 1

1 0 1 1

1 1 1 1 0 1 1

1 1 0 1

1 1 1 1 1 0 1

1 1 1 1

1

1 1

1

1

1

0 0 0

0 0 1

0 1 0

1

0 1 1

1

1 0 0

1 0 1

1 1 0

1 1 1

图7电路分析题4用图

8. 3线-8线译码器74LS138构成的电路如图8所示,A B 、C 为输入变量。试写出输 出函数Y 的最简与-或表达式,列出真值表,描述此电路的逻辑功能。

解:

(1)

Y Y l 丫2 丫4 丫7

—i — - ― … 一二

ABC ABC ABC ABC ABC ABC ABC ABC

(3)

判奇电路

9. 4位二进制计数器74LS161的逻辑符号如图 7所示。请用74LS161组成五进制计数器。

1

异步清零法

同步置数法

1

网Y Y 2 药 Y i Y 5 丫6 丫7

A A i l 74LS138

A 0 S 2A S 2

B S 1

图8电路分析题5用图 ⑵

1

丄「

I

A B il e —

+5V

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

数电期末试卷及答案(共4套)汇编

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

东南大学数字电路实验报告

东南大学电工电子实验中心实验报告 数字逻辑设计实践 实验一数字逻辑电路实验基础 学院电气工程学院 指导老师团雷鸣 地点 104 姓名 学号 __________得分实验日期

1.实验目的 (1)认识数字集成电路,能识别各种类型的数字器件和封装; (2)学习查找器件资料,通过器件手册了解器件; (3)了解脉冲信号的模拟特性,了解示波器的各种参数及其对测量的影响,了解示波器探头的原理和参数,掌握脉冲信号的各项参数; (4)了解逻辑分析的基本原理,掌握虚拟逻辑分析的使用方法; (5)掌握实验箱的结构、功能,面包板的基本结构、掌握面包板连接电路的基本方法和要求; (6)掌握基本的数字电路的故障检查和排除方法。 2.必做实验 (1)复习仪器的使用,TTL信号参数及其测量方法 用示波器测量并记录频率为200KHz的TTL信号的上升沿时间、下降沿时间、脉冲宽度和高、低电平值。 接线图 理论仿真TTL图像 TTL实验数据表格

(2)节实验:电路安装调试与故障排除 要求:测出电路对应的真值表,并进行模拟故障排查,记录故障设置情况和排查过程。 接线图 真值表 F=1,G=1 序号S1B1S2B2L 100000110100001020110 103 110040 150110 006101107111001800 001190 思考题 ①能否用表格表示U8脚输出端可能出现1的全部情况 2

②存在一个使报警器信号灯持续接通的故障,它与输入的状态无关。那么,什么是最有可能的故障? 答:两个集成电路74HC00与74HC20未加工作电压VCC并接地,造成集成电路无法工作,L一直为低电平,Led发光。 ③下列故障的现象是什么样的? a.U8脚输出端的连线开路。1答:无论S2与B2输入什么信号,都视为U4 与U5输入0信号(副驾驶有人22且安全带未扣上),会造成报警。 b.U3脚的输出停留在逻辑0。1答:无论B1输入什么信号,都视为U13输 入0信号。(驾驶座安全带扣上)1 ④当汽车开始发动,乘客已坐好,而且他的座位安全带已扣上,报警灯亮,这结果仅与司机有关,列出可能的故障,并写出寻找故障的测试顺序。 可能情况:司机未系安全带

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

东南大学数字电路期末试卷

数字电路期末试卷一 一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 2.用单脉冲或秒脉冲验证实验结果;(由老师检查)(15分) 3.用示波器或者逻辑分析仪观察并记录时钟与个位的低两位信号(Q1、Q0)波形。(10分) 二、设计一个具有自启动功能的序列信号发生器1011 (共60分) 要求:1.设计出电路图,写出设计过程并将逻辑图画在答题纸上;(20分) 2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上。(由老师检查)(10分)

一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 评分标准:原理图完全正确15分;若其中低位或者高位单独正确给5分; 如果两个单独均正确但级联错误给10分;接地不画扣2分。 2.用单脉冲或秒脉冲验证实验结果.(由老师检查)(15分) 3.记录结果(10分) 评分标准::相位对齐6分(每个输出端信号3分),画满一个周期3分,方波边沿画出1分。 二、1. 评分标准:原理图正确20分,输入没有使能端扣3分,接地不画扣2分。2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 评分标准:实验操作,仪器使用5分,指示灯验证和自启动功能检查15分 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上.(由老师检查)(10分) 评分标准:波形观察记录,相位对齐6分,至少画满一个周期(3分),且画出边沿(1分)10分

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

2019-2020年整理数字电路期末复习题及答案汇编

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

东南大学-数字电路实验-第4章-时序逻辑电路

东南大学-数字电路实验-第4章-时序逻辑 电路 --------------------------------------------------------------------------作者: _____________

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并 记录时钟脉冲CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波 形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制

数字电路期末总复习完整版(考试必过)

第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系Ak)=A与A 1=A A+l = l 与 A 0 = 0 A+A=1 与 A A = 0 2)与普通代数相运算规律 a.交换律:A + B = B + A AB= B-A b.结合律:(A + B) +C = A+ ( B + C) (A B) C= A (B C) C.分配律:A (B C) = A B+ A C A+B C = (A+ B)0A+ C)) 3)逻辑函数的特殊规律 a.同—律:A + A + A

b.摩根定律:A+B= A B, AB = A+B b.关于否定的性质A = A 二、逻辑函数的基本规则代入规则在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:A B?C+A B?C 可令L = B?C 如J上式变成 A L+A L = A?L= A?B?C 三、逻辑函数的:一一公式化筒法 公式化筒法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与一或表达式 1)合并项法: 利用A + A A=l或A B=Am=A,将二项合并为一项,合并时可消去 + 个变量例如:L = ABC + ABC = AB(C+C)= AB 2)吸收法 利用公式A+AB=A,消去多余的积项,根据代入规则AB可以是任何一个复杂的逻辑式 例如化简函数L AD + BE 解:先用摩根定理展开:将=天+百再用吸收法 L =^+AD + BE A+ B + AD + BE

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

相关主题
文本预览
相关文档 最新文档