当前位置:文档之家› EDA实验四阶梯波发生器电路的设计说明

EDA实验四阶梯波发生器电路的设计说明

EDA实验四阶梯波发生器电路的设计说明
EDA实验四阶梯波发生器电路的设计说明

实验四阶梯波发生器电路的设计

一、实验目的

1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用方法掌握常用电路分析方法。

2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。

3.熟练掌握有关阶梯波电路设计的方法,并应用相关知识来分析电路,掌握组

成阶梯波电路的各个部分的电路的在阶梯波电路中的作用,深刻体会阶梯波的调节方法,做到理论和实践相结合,加深对知识的理解。

二、实验要求

(1)设计一个能产生周期性阶梯波的电路,要求阶梯波周期在20ms左右,输出电压围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555定时器、D/A转换器等数字器件,也不可选用虚拟器件。) (2)对电路进行分段测试和调节,直至输出合适的阶梯波。

(3)改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压围和周期的元器件。

三、实验步骤

1.实验所用的总电路图如下图1所示:

图1 电路输出的波形如下图2和图3所示:

图2 图3

由上面两幅图可以看出阶梯波的周期为T=23.899mS,阶梯个数为5个,输出电压

为10.024V符合实验要求。

本实验所用的电路由方波发生电路、微分电路、限幅电路、积分累加器、比较

器、电子开关电路、振荡控制电路和电源等八部分电路组成,各个部分的关系可

下框图所示:

振荡控制电路

输出方波发生器微分电路限幅电路积分累加电路比较器

电源电子开关电路

2.电路工作原理

①方波发生器电路

方波发生器电路如下图4所示:

图4

实验所用方波发生电路产生的方波的周期为T=Cln(1+2),带入相应

的数据可知T=2×18.7KΩ×100nF×ln(1+2)=3.76mS。其输出的方波波形如下图5和图6所示:

图5 图6

,电容C的大小,和的值就可以改变方波的周期,从而影响调节电阻R

f1

到最终阶梯波的周期。

②微分电路

微分电路所用的电路图如下图7所示:

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

三角波、方波、正弦波发生电路之令狐文艳创作

波形发生电路 令狐文艳 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 指标:输出频率分别为:102H Z、103H Z和104Hz;方波的输出电压峰峰值V PP≥20V (1)方案的提出 方案一: 1、由文氏桥振荡产生一个正弦波信号。 2、把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 3、把方波信号通过一个积分器。转换成三角波。方案二: 1、由滞回比较器和积分器构成方波三角波产生电 路。 2、然后通过低通滤波把三角波转换成正弦波信号。方案三: 1、由比较器和积分器构成方波三角波产生电路。 2、用折线法把三角波转换成正弦波。 (2)方案的比较与确定 方案一:

文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f0时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的方波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波、三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大; 即零附近的差别最小,峰值附近差别最大。因此,根 据正弦波与三角波的差别,将三角波分成若干段, 按不同的比例衰减,就可以得到近似与正弦波的折 线化波形。而且折线法不受频率范围的限制。

函数信号发生器的设计 EDA课程设计

摘要 本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。最后还附加了实现设计的VHDL源程序。 关键词:VHDL D/A接口设计

绪论 EDA是电子设计自动化(Electronic Design Automation)的缩写。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言 HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步。EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。 硬件描述性语言HDL是EDA技术的重要组成部分,常见HDL的有VHDL、HDL、ABEL、Verilog、AHDL、SystemC等。其中VHDL、Verilog在现在的EDA 设计中使用的最多,也拥有了几乎所有主流EDA工具的支持,而相对于其他语言VHDL更加完善。VHDL是英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,是硬件描述语言的业界标准之一。它作为一个规范语言和建模语言,具有与具体硬件电路无关及设计平台无关的特性,而且还有很强的电路行为描述和建模能力,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和可靠性。 D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。AD558是并行8位D/A转换芯片,应用CPLD可以完成对AD558的控制。CPLD 与CPLD结合之后可以完成函数发生器的基本功能:波形输出。要实现这种结合就需要应用VHDL语言完成D/A接口的设计。通过合适的VHDL语言可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出。

阶梯波发生电路的设计

阶梯波发生电路的设计 实验三阶梯波发生电路的设计 一、实验目的 1、掌握阶梯波发生器电路的结构特点。 2、掌握阶梯波发生器电路的工作原理。 3、学习复杂的集成运算放大器电路的设计。二、实验要求 1、设计一个能产生周期性阶梯波的电路,要求阶梯波周期在18ms左右,输出电压范 围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555 定时器、D/A转换器等数字器件,也不可选用虚拟器件。) 2、对电路进行分段测试和调节,直至输出合适的阶梯波。 3、改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的 元器件。三、实验原理 1、阶梯波发生器原理 要设计阶梯波发生电路,首先要设计好方波发生电路,然后通过微分电路,这是会得 到上下均有尖脉冲的波形。这是要只取上面的尖脉冲,就需通过限幅电路滤除下半部分的 波形。当这些脉冲经过积分累加电路时,一个尖脉冲累加为一个固定的值,下一个脉冲到 来时又会增加同样的一个值,于是输出形成了阶梯波形。当累加结果没有超过比较器的阈 值时,会一直累加下去。而达到门限后,比较器输出电压翻转,输出正电压使振荡控制电 路工作,使方波停振,同时积分电容对地短路放电,电容器恢复起始状态累加结束。而在 电容放电之后,积分器输出由负值向零跳变,使比较器又一次翻转,振荡电路不能工作, 比较器输出变为负 阶梯波发生原理框图 2、实验原理图 阶梯波原理图 四、实验过程 1、电路设计 (1)方波发生电路设计 设计电路如图3.03所示,从图3.04所示的示波器中可读出方波的周期为3.774ms。 方波发生电路 方波波形 (2)微分电路设计

方波-三角波产生电路的设计.

方波-三角波产生电路的设计 1 技术指标 设计一个方波-三角波产生电路,要求方波和三角波的重复频率为500Hz ,方波脉冲幅度为6-6.5V ,三角波为1.5-2V ,振幅基本稳定,振荡波形对称,无明显非线性失真。 2 设计方案及其比较 产生方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以直接产生三角波—方波。由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波。 2.1 方案一 非正弦波发生器的组成原理是电路中必须有开关特性的器件,可以是电压比较器,、集成模拟开关、TTL 与非门等;具有反馈网络,它的作用是通过输出信号的反馈,改变开关器件的状态;具有延迟环节,常用RC 电路充放电来实现;具有其他辅助部分,,如积分电路等。 矩形经过积分器就变成三角波形,即三角波形发生器是由方波发生器和反向积分器所组成的。但此时要求前后电路的时间常数配合好,不能让积分器饱和。 如图1所示为该电路设计图。 由集成运算放大器构成的方波和三角波发生器,一般均包括比较器和RC 积分器两大部分。如图所示为由迟滞比较器和集成运放组成的积分电路所构成的方波和三角波发生器。1U 构成迟滞比较器,用于输出方波;2U 构成积分电路,用于把方波转变为三角波,即输出三角波。

图1 方案一电路设计图 U1构成迟滞比较器,同相端电位p V 由1O V 和2O V 决定。利用叠加定理可得: 21211211211) ()(O V V O V P V R R R R R V R R R R V ?++++?++= 当0>P V 时,U1输出为正,即Z O V V +=1 当0

EDA实验四阶梯波发生器电路的设计说明

实验四阶梯波发生器电路的设计 一、实验目的 1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用方法掌握常用电路分析方法。 2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。 3.熟练掌握有关阶梯波电路设计的方法,并应用相关知识来分析电路,掌握组 成阶梯波电路的各个部分的电路的在阶梯波电路中的作用,深刻体会阶梯波的调节方法,做到理论和实践相结合,加深对知识的理解。 二、实验要求 (1)设计一个能产生周期性阶梯波的电路,要求阶梯波周期在20ms左右,输出电压围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555定时器、D/A转换器等数字器件,也不可选用虚拟器件。) (2)对电路进行分段测试和调节,直至输出合适的阶梯波。 (3)改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压围和周期的元器件。 三、实验步骤 1.实验所用的总电路图如下图1所示:

图1 电路输出的波形如下图2和图3所示:

图2 图3 由上面两幅图可以看出阶梯波的周期为T=23.899mS,阶梯个数为5个,输出电压 为10.024V符合实验要求。 本实验所用的电路由方波发生电路、微分电路、限幅电路、积分累加器、比较

器、电子开关电路、振荡控制电路和电源等八部分电路组成,各个部分的关系可 由 下框图所示: 振荡控制电路 输出方波发生器微分电路限幅电路积分累加电路比较器 电源电子开关电路 2.电路工作原理 ①方波发生器电路 方波发生器电路如下图4所示: 图4

实验所用方波发生电路产生的方波的周期为T=Cln(1+2),带入相应 的数据可知T=2×18.7KΩ×100nF×ln(1+2)=3.76mS。其输出的方波波形如下图5和图6所示:

三角波信号发生电路设计

课程设计报告 课程名称:模拟电子技术基础 设计题目:三角波信号发生电路设计 姓名: 学号: 系别: 专业班级: 开始日期: 完成日期 指导教师: 成绩评定等级(分数)

课程设计任务书 班级:姓名:学号:

目录 一、设计意义 (1) 1.1信号发生器的概述 (1) 1.2预计完成步骤 (1) 1.3制定的措施 (1) 二、设计方案比较 (1) 2.1三角波发生电路设计方案一 (1) 2.2三角波发生电路设计方案二 (3) 三、电路组成框图 (5) 四、电路原理图 (5) 五、组装及仿真指标测试 (7) 六、总结 (8) 七、参考文献 (9)

一、设计意义 1.1信号发生器的概述 信号发生器在电子技术应用领域里的用途非常广泛,在数字系统和自动控制系统也常常需要方波,三角波,的非正弦波信号发生器。目前我们实验室用的较多的波形发生器主要有两种:低频正弦波发生器和通用多波形发生器,前者只能产生正弦波,调节范围不大,但是信号稳定,失真度底,主要用在对波形有很高的要求的实验中;后者能产生正弦波、方波和三角波,也有的能产生三种以上波形。 本次课程设计是做一个能够产生三角波电路的设计。 由理论分析知,电压比较器可以产生方波,积分电路可以产生三角波。 1.2预计完成步骤 任务一 总体设计 任务二 方波-三角波产生电路设计 任务三 方波-三角波产生电路的安装 任务四 方波-三角波产生电路的仿真和调试 1.3制定的措施 使用National Instruments Multisim 编辑电路原理图。并且进行理论仿真。 在几个方案中选择具有可行性以及稳定性强的的电路原理图。 对选定的原理图进行安装调试。 二、设计方案比较 2.1三角波发生电路设计方案一 图1 三角波发生电路(一) 三角波电路波形可以通过积分电路实现,把方波电压作为积分运算电路的输入,在积分运算电路的输出就得到了三角波。 如图1所示电路输入方波电压,可见,输出为三角波。图中滞回比较器的输出电压 Z U U ±=01 ,他的输入电压时积分电路的输出电压0U ,根据叠加原理,集成运放1A 同相输 入端电位

压控阶梯波发生器基于运放的信号发生器设计

北京工业大学 课程设计报告 学院电子信息与控制工程 专业通信工程 班级 120241 组号 14 题目1、压控阶梯波发生器 2、基于运放的信号发生器设计 姓名周文晨 学号12024128 指导老师张国英 成绩 2014 年05 月29 日

压控阶梯波发生器 【实验名称】压控阶梯波发生器 【设计任务】在规定时间内设计并调试一个由电压控制的阶梯波发生器。 【设计要求】 1、输出阶梯波的频率能被输入的直流电压所控制,频率控制范围为600Hz---1000Hz。 2、输出阶梯波的台阶数为10级,且比例相等。 3、输出阶梯波的电压为1V/级。 4、输入控制电压的范围为0.5V至6V。 5、电路结构简单,所用原器件尽量少,成本低。 【调试要求】利用实验室设备和指定器件进行设计,组装和调试,达到设计的要求,写出总结报告 仿真图,草图,电路图附本实验的报告后 【参考元器件】 1、运算放大器uA741,LM324,LM358. 2、TTL电路74LS20,74LS161 ,74LS175。 3、CMOS缓冲器CD4010 4、稳压管二极管 5、电阻电容电位器

设计思路 一:输出阶梯波的台阶数为十阶 想法:采用十进制计数器,确保每十个时钟信号后清零。选取74LS161芯片 二:输出阶梯波每阶比例相等,电压为1V/阶 想法:⑴采用权组网路,将数字信号转化为模拟信号 ⑵运用放大器,将输出信号放大以满足要求。选取运算放大器LM358芯片 另外,74LS161是单纯的计数功能芯片,带负载能力很弱。对于后面串上的几十千欧级的电阻显得力不从心。用万用表实测74LS161输出管脚的电压值,也确实发现高电平对应的实际电压值并不恒定。为改善这样的情况,需把74LS161输出加到74LS175上,再把74LS175的输出加到CD4010上CD4010是缓冲器,可以把不稳的输入电压缓冲为稳定的输出电压,而且电流加大,大大加强了带负载的能力。74LS175和CD4010共同组成了缓冲器,虽然对电路的逻辑功能没有影响,但却是实现电路功能不可或缺的一部分。 综上所述,电路应分为压频转换波分、计数部分、全电阻网络部分和信号放大部分。我们选取的芯片为:LM358、74LS161、74LS175、CD4010

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

方波_三角波发生电路实验报告

河西学院物理与机电工程 学院 综合设计实验 方波-三角波产生电路 实验报告 学院:物理与机电工程学院 专业:电子信息科学与技术

:侯涛 日期:2016年4月26日 方波-三角波发生电路 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波的波形发生器。 指标:输出频率分别为:102HZ、103HZ和104Hz;方波的输出电压峰峰值VPP≥20V 一、方案的提出 方案一: 1、由文氏桥振荡产生一个正弦波信号。 2、把文氏桥产生的正弦波通过一个过零比较器从而把正弦波转换成方波。 3、把方波信号通过一个积分器。转换成三角波。 方案二: 1、由滞回比较器和积分器构成方波三角波产生电路。 2、然后通过低通滤波把三角波转换成正弦波信号。 方案三: 1、由比较器和积分器构成方波三角波产生电路。

2、用折线法把三角波转换成正弦波。 二、方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f0时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的方波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化围很小的情况下使用。然而,指标要求输出频率分别为102HZ、103HZ和104Hz 。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波、三角波发生器原理如同方案二。比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率围的限制。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 三、工作原理: 1、方波、三角波发生电路原理

数字电路课程设计阶梯波信号发生器

《数字电路课程设计》 说明书 题目:阶梯波信号发生器 专业:电子信息科学与技术 班级:------ 学号:------ 姓名:------

目录 1、设计题目 (3) 2.设计目的: (3) 3.设计要求 (3) 4.设计方案 (3) 5.设计原理 (4) 5.1预置数功能实现 (4) 5.2时钟信号发生器 (4) 5.3 D/A转换器 (6) 5.4整体电路图 (7) 6、心得体会 (7) 7.参考文献 (7)

正文 1、设计题目 设计一个阶梯信号发生器 2.设计目的: 1).了解D/A转换电路的工作原理。 2).掌握用集成运算放大器设计D/A转换电路。 3.设计要求 1). 以集成计数器为主要器件,设计一个阶梯波发生器,要求输出如图所示波形。周期为 2ms。 2).依据设计结果,创建实验电路。 3).仿真、调试。 4.设计方案 1)由时钟信号发生器、计数器和D/A转换器组成电路。 2)时钟信号发生器的信号频率可调,可采用由555构成的多谐振荡器。 3)由74LS161反馈置零法确定方波的阶数。 4)D/A转换器将计数器的输出值转换为模拟电压。

5.设计原理 5.1预置数功能实现 如下图 5.2时钟信号发生器 时钟信号发生器可由振荡器构成,振荡器采用555构成的多谐振荡器,通过改变阻值实现振荡器频率可调。利用555定时器组成的多谐振荡器接通电源后,电容C1被充电,当电压上升到一定数值时里面集成的三极管导通,然后通过电阻和三极管放电,不断的充放电从而产生一定周期的脉冲,通过改变电路上器件的值可以微调脉冲周期。由所学知识知T= (R1+2R2)*C1,则f=1/T,通过直接按键盘字母F(增加R2的接入阻值)或者Shift+F(减小R2的接入阻值)来改变频率。 连线电路如下图:

eda课程设计 信号发生器

目录 1. 引言 (1) 2. VHDL语言及Quartus II软件介绍 (2) 2.1 VHDL语言 (2) 2.2 Quartus II软件 (2) 3.总体设计思想及流程 (3) 4. 具体程序实现模块 (4) 4.1倍频器模块 (4) 4.2主程序模块 (4) 4.3 波形显示模块 (5) 4.4频率显示模块 (5) 5. 软件仿真 (6) 6. 硬件显示 (7) 7. 总结与体会 (8) 参考文献 (9) 附录 (10) 附录1. 整体系统原理图 (10) 附录2. 主程序 (11)

1. 引言 信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。简易信号发生器是信号发生器的一种。可以实现信号发生器的一些基本功能。本次课程设计要求设计的是一种简易信号发生器。 在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波。且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波; (2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、 1KHz~10KHz、10KHz~1MHz三档频率的信号; (3)要求具有波形选择和频率选择的功能; (4)在同一频率档内,可实现频率的加减; (5)要求显示波形的同时能够进行频率的调节; (6)要求能够显示波形:A——正弦波;B——三角波;C——方波; (7)要求能够显示频率值; (8)可用示波器进行波形的观测。

三角波发生电路设计

三角波发生器设计 制作人:朱立超 西安建筑科技大学

一、工作原理: 1. 基本原理图: 2.工作原理: 1)如图1,三角波发生器电路,有两部分组成。其中集成运放A1组成滞回比较器,A2组成积分电路。滞回比较器可以产生稳定的方波信号,再通过积分电路积分产生所需要的三角波。 由积分电路2031(z)dt T U R C --? 可知积分电路输出电压同u o1 反向。 设t=0时积分电路电容上的初始电压为零,而滞回比较器输出端u o1=+Uz 。又有电路图可以看出,两级电路分别都引入了反馈, A 1同相输入端的电压u p1同时与u o1和u o 有关,根据叠加定理 可得 121o1o 1212 u u u p R R R R R R =+++ 由积分回路同向和反向输入端“虚短”“虚断”u p2= u n2=0,从而可 图1 三角波发生电路图

知u o =u p2.由于t 0时电容两端电压为了零,所以 u o =0,而u 01=+Uz ,故u p1也为正。而当u o1=+Uz 时,经反向积分,输出电压u o 将随着时间往负方向线性增长,则u p1将随之逐渐减小,当减小至u p1=u n1=0时,滞回比较器的输出端电压发生跳变,使u o1由+Uz 跳变为-Uz ,此时u p1也将跳变成为一个负值。当u o1=-Uz 时,积分电路的输出电压u o 将随着时间往正方向线性增长,u p1将又逐渐增大,当增大至u p1= u n1=0时,滞回比较器的输出端再次发生跳变,u 01由-Uz 跳变为+Uz 。如此重复上述过程,于是滞回比较器的输出电压u 01成为周而复始的矩形波,从而积分电路的输出电压u o 也成为周期性重复的三角波。 滞回比较器和积分电路特性: 2)输出幅度: 在u o1=-Uz 期间,积分电路的输出电压u o 往正方向线性增长,此时u p1也随着增长,当增长至u p1= u n1=0时,滞回比较器的输出电压u o1发生跳变,而发生跳变时的u o 值即是三角波的最大值Uom 。将条图3 电路的波形图 图2 电压输出特性

正弦波-方波-三角波信号发生器设计

苏州科技学院天平学院 模拟电子技术课程设计指导书 课设名称正弦波-方波-三角波信号发生器设计 组长李为学号1232106101 组员谢渊博学号1232106102 组员张翔学号1232106104 专业电子物联网 指导教师 二〇一二年七月 模拟电子技术课程设计指导书

一设计课题名称 正弦波-方波-三角波信号发生器设计 二课程设计目的、要求与技术指标 2.1课程设计目的 (1)巩固所学的相关理论知识; (2)实践所掌握的电子制作技能; (3)会运用EDA工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计;(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则; (5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题; (6)学会撰写课程设计报告; (7)培养实事求是,严谨的工作态度和严肃的工作作风; (8)完成一个实际的电子产品,提高分析问题、解决问题的能力。 2.2课程设计要求 (1)根据技术指标要求及实验室条件设计出电路图,分析工作原理,计算元件参数;(2)列出所有元器件清单; (3)安装调试所设计的电路,达到设计要求; 2.3技术指标 (1)输出波形:方波-三角波-正弦波; (2)频率范围:100HZ~200HZ连续可调;

(3)输出电压:正弦波-方波的输出信号幅值为6V.三角波输出信号幅值为0~2V连续可调; γ。 (4)正弦波失真度:% ≤ 5 三系统知识介绍 3 函数发生器原理 本设计要求产生三种不同的波形分别为正弦波\方波\ 三角波。实现该要求有多种方案。 方案一:首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波。 方案二:首先产生方波——三角波,再将方波变成正弦波或将三角波变成正弦波。 3.1函数发生器的各方案比较 我选的是第一个方案,上述两个方案均可以产生三种波形。方案二的电路过多连接部方便而且这样用了很多元器件,但是方案的在调节的时候比较方便可以很快的调节出波形。方案一电路简洁利于连接可以节省元器件,但是在调节波形的时候会比较费力,由于整个电路时一起的只要调节前面部分就会影响后面的波形。 四电路方案与系统、参数设计 4.1基于集成运算放大器与晶体管差分放大器的函数发生器 4.1.1设计思路 我们组总体设计思路为:先通过比较器产生方波,方波通过积分器产生三角波,三角波通过差分放大器产生正弦波。 函数发生器电路组成框图如下所示

EDA--调频信号发生器

湖南人文科技学院 课程设计报告 课程名称:VHDL语言与EDA课程设计 设计题目:调频信号发生器 系别:信息学院 专业:电子信息工程 班级:一班 学生姓名: 姚靖瑜何渡余建佳 学号: 13409112 13409115 13409120 起止日期: 2016年6月16日 指导教师:姚毅 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩评定 项目权重 成绩 1、设计过程中出勤、学习态度等方面 2、课程设计质量与答辩 3、设计报告书写及图纸规范程度 总成绩 教研室审核意见: 教研室主任签字:年月日教学系审核意见: 主任签字:年月日

摘要 本文介绍一种利用EDA技术和VHDL语言,在QuartusⅡ环境下,设计的一种调频信号发生器。EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。介绍一种基于DDS 原理,并采用FPGA 芯片和VHDL 开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48 CK型EDA 集成电路开发系统上实现的实验结果。 关键词:调频;信号发生器;DDS;FPGA;VHDL;QuartusⅡ

目录 设计要求 (1) 1、方案论证与对比 (1) 1.1方案对比 (1) 1.2方案选择 (2) 2、工作原理及过程 (2) 2.1DDS的基本原理 (2) 2.2基本流程图 (3) 3、模块设计 (3) 3.1MATLAB设计 (3) 3.1.1 顶层原理图设计 (3) 3.1.2 Smulink模型仿真 (4) 3.1.3 Signalcompiler的使用 (4) 3.2Q UARTUS II设计 (6) 3.2.1 顶层原理图模块 (6) 3.2.2 高速A/D转换器TLC5510 (6) 3.2.3 调试与操作说明 (7) 3.2.4 顶层文件设计 (8) 4、问题分析 (9) 5、心得体会 (9) 6、元件清单 (10) 7、致谢 (10) 参考文献 .................................................................................................. 错误!未定义书签。附录一AD5510控制程序 .. (11) 附录二顶层文件程序 (12)

三角波发生器设计报告

计算机硬件技术课程设计 学院:自动化工程学院 班级: 姓名: 学号: 同组人: 2015年1月

目录一、目的· 二、内容·· 三、设计任务· 四、方案选择及原理·· 五、所用器件· 六、原理及结果图· 七、流程图· 八、程序代码· 九、设计中遇到的问题· 十、收获及体会·

三角波发生器 一、目的 1、了解和掌握8086、DAC0832等接口芯片和示波器的原理和功能; 2、能用这些接口芯片构建一个简单的系统控制对象, 3、掌握接口电路的综合设计与使用; 4、通过自己动手,进一步了解计算机工作原理,接口技术,提高计算机硬件, 软件综合应用能力,即对微机原理,接口技术,汇编语言程序设计进行综合训练。 二、内容 利用D/A设计一个三角波发生器,可利用按键改变其输出波形的幅值。例如,可利用5个按键改变其输出波形的幅值,当按下按键时使D/A输出幅值从1V增加到5V。 三、设计任务 1、选用8086和适当的存储器及接口芯片完成相应的功能。 2、画出详细的硬件连接图。 3、画出软件流程图。 4、给出所有程序清单并加上必要注释。 5、完成设计说明书(列出参考文献,所用器件型号)。 四、方案选择及原理 D/A转换器产生各种波形的原理:利用D/A转换器输出的模拟量与输入数字量成正比关系这一特点,将D/A转换器作为微机输出接口,CPU通过程序向D/A 转换器输出随时间呈现不同变化规律的数字量,则D/A转换器就可输出各种各样的模拟量,如方波、三角波、锯齿波、正弦波等。 五、所用器件 CPU8086、地址锁存器74LS373、译码器74154、数/模转换器DAC0832 主要器件简介

EDA课程设计_多功能波形信号发生器

目录 摘要 (1) 一、设计要求 (3) 三、系统设计思路 (4) 3.1 波形函数发生装置的选择 (4) 3.2 波形输入输出控制方式的选择 (5) 四、各模块设计及仿真 (6) 4.1函数发生模块 (6) 4.1.1 正弦波模块 (6) 4.1.2 方波模块 (7) 4.1.3 递增锯齿波模块 (9) 4.1.4 递减锯齿波模块 (10) 4.1.5 阶梯波模块 (12) 4.1.6 三角波模块 (13) 4.2调控模块 (15) 4.2.1波形输出控制单元 (15) 4.2.2波形输入控制单元 (16) 4.2.3频率控制单元 (18) 4.2.4幅度控制单元 (20) 4.3 D/A转换器 (21) 4.4 总电路 (24) 五、硬件测试 (25)

5.1编译 (25) 5.2 引脚的锁定 (26) 5.3编程下载 (27) 5.4 硬件验证 (28) 六、课程设计心得体会 (31) 参考文献 (32) 附录 (33) 摘要 本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。 在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。在调控模块中实现了调频调幅以及对于波形的输入输出控制。对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出, 实现数模转换的同时,保持相应位的同步实现。 在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的

阶梯波发生电路的设计

阶梯波发生电路的设计 一、实验目的 1、掌握阶梯波发生器电路的结构特点。 2、掌握阶梯波发生器电路的工作原理。 3、学习复杂的集成运算放大器电路的设计。 二、实验要求 1、设计一个能产生周期性阶梯波的电路,要求阶梯波周期在20ms左右,输出电压 范围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555定时器、D/A转换器等数字器件,也不可选用虚拟器件。) 2、对电路进行分段测试和调节,直至输出合适的阶梯波。 3、改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的 元器件。 三、实验原理 1、阶梯波发生器原理 要设计阶梯波发生电路,首先要设计好方波发生电路,然后通过微分电路,这是会得到上下均有尖脉冲的波形。这是要只取上面的尖脉冲,就需通过限幅电路滤除下半部分的波形。当这些脉冲经过积分累加电路时,一个尖脉冲累加为一个固定的值,下一个脉冲到来时又会增加同样的一个值,于是输出形成了阶梯波形。当累加结果没有超过比较器的阈值时,会一直累加下去。而达到门限后,比较器输出电压翻转,输出正电压使振荡控制电路工作,使方波停振,同时积分电容对地短路放电,电容器恢复起始状态累加结束。而在电容放电之后,积分器输出由负值向零跳变,使比较器又一次翻转,振荡电路不能工作,比较器输出变为负 图3.01 阶梯波发生原理框图 2、实验原理图

图3.02 阶梯波原理图 四、实验过程 1、电路设计 (1)方波发生电路设计 设计电路如图3.03所示,从图3.04所示的示波器中可读出方波的周期为3.774ms。 图3.03 方波发生电路

图3.04 方波波形 (2)微分电路设计 在图3.03所示的方波发生电路的输出端接电阻R5和电容C2即可组成图3.05所示的微分电路,示波器所得的输出波形见图3.06的尖脉冲波形。 图3.05 方波发生电路+微分电路

EDA实验 函数信号发生器

EDA设计实验 题目:函数信号发生器 作者: 所在学院:信息科学与工程学院 专业年级: 指导教师: 职称: 2011 年 12 月 11 日

函数信号发生器 摘要:函数信号发生器在生产实践和科技领域有着广泛的应用。本设计是采用了EDA技术设计的函数信号发生器。此函数信号发生器的实现是基于VHDL语言描述各个波形产生模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由波形产生模块和波形选择模块两个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以输出正弦波、方波、三角波,锯齿波,阶梯波等规定波形,并能根据波形选择模块的设定来选择波形输出。 关键字:函数信号发生器;Cyclone;VHDL;QuartusⅡ 引言: 函数信号发生器即通常所说的信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格要求的电信号设备是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对函数信号信号发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波性,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度、及分辨率高等。本文基于

EDA设计函数信号发生器,并产生稳定的正弦波、方波、锯齿波、三角波、阶梯波。 正文: 1、Quartus II软件简介 1)Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第

三角波发生电路设计

三角波发生电路设计

由积分回路同向和反向输入端“虚短”“虚断”u p2= u n2=0,从而可知u o =u p2.由于t 0时电容两端电压为了零,所以 u o =0,而u 01=+Uz ,故u p1也为正。而当u o1=+Uz 时,经反向积分,输出电压u o 将随着时间往负方向线性增长,则u p1将随之逐渐减小,当减小至u p1=u n1=0时,滞回比较器的输出端电压发生跳变,使u o1由+Uz 跳变为-Uz ,此时u p1也将跳变成为一个负值。当u o1=-Uz 时,积分电路的输出电压u o 将随着时间往正方向线性增长,u p1将又逐渐增大,当增大至u p1= u n1=0时,滞回比较器的输出端再次发生跳变,u 01由-Uz 跳变为+Uz 。如此重复上述过程,于是滞回比较器的输出电压u 01成为周而复始的矩形波,从而积分电路的输出电压u o 也成为周期性重复的三角波。 滞回比较器和积分电路特性: 2)输出幅度: 在u o1=-Uz 期间,积分电路的输出电压u o 往正方向线性增长,此 图3 电路的 图 2 电压输

时u p1也随着增长,当增长至u p1= u n1=0时,滞回比较器的输出电压u o1发生跳变,而发生跳变时的u o 值即是三角波的最大值Uom 。将条件u o1=-Uz ,u+=0和u o =Uom 代入上式,可得 om )(02 12211U R R R Uz R R R ++-+= 可解得三角波的输出幅度为z 2 1om U R R U = 3)周期频率: 在积分电路对u o1=-Uz 进行积分的半个振荡周期内,输出电压u o 由-Uom 上升至+Uom ,则对积分电路可列出一下表达式: ?=--203om 2dt )z (1T U U C R 即om 22 z 3U T C R U =? 所以三角波的振荡周期为2 3134z om 4R C R R U CU R T == 三角波震荡频率: 2134R f R R C = 三角波的输出幅度与稳压管的Uz 以及电阻值之比R 1/R 2成正比。三角波的振荡周期则与积分电路的时间常数R 3C 以及电阻值之比R 1/R 2成正比。仿真设计时要先确定Uz 值(本设计仿真二极管采用1N5233B 类型经测量和对比规格可知其端电压Uz 为6V ),再调整电阻R 1和R 2,使输出幅度达到规定值,然后再调整R 3和C 使振荡周期满足要求。

相关主题
文本预览
相关文档 最新文档