当前位置:文档之家› 电子科大数字电路,期末试题0708-2半期考试

电子科大数字电路,期末试题0708-2半期考试

电子科大数字电路,期末试题0708-2半期考试
电子科大数字电路,期末试题0708-2半期考试

………密………封………线………以………内………答………题………无………效……

电子科技大学二零零七至二零零八学年第二学期期中考试

“数字逻辑设计及应用”课程考试题 期中卷(120分钟)考试形式:闭卷 考试日期 2008年4月26日

课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末60 分

1-1.与十进制数 (0. 4375 )10 等值的二进制数表达是 ( A ) A. ( 0.0111 ) 2 B. ( 0.1001 ) 2 C. ( 0.0101 ) 2 D. ( 0.01101 ) 2 1-2. 与十六进制数(FD .A )16等值的八进制数是( A )8

A. ( 375.5 )8

B. ( 375.6 )8

C. ( 275.5 )8

D. ( 365.5)8 1-3.与二进制数(11010011) 2 对应的格雷码表达是 ( C ) Gray

A. ( 11111010 ) Gray

B. (00111010 ) Gray

C. ( 10111010 )Gray

D. (11111011 ) Gray 1-4.下列数字中与(34.42)8 相同 的是( B )

A.(011010.100101)2

B.(1

C.88)16 C.(27.56)10

D.(54.28)5 1-5.已知[A]补=(10010011),下列表达式中正确的是( C )

A. [–A]反=(01101100)

B. [A]反=(10010100)

C. [-A]原=(01101101)

D. [A]原=(00010011)

1-6.一个十六路数据选择器,其选择控制输入端的数量为( A )

A .4个 B. 6个 C. 8个 D. 3个

1-7.四个逻辑相邻的最小项合并,可以消去( B )个因子。

A. ( 1 )

B. ( 2 )

C. ( 3 )

D.( 4 )

1-8.设A 补=(1001),B 补=(1110),C 补=(0010),在下列4种补码符号数的运算中,最不可能产生溢出的是 ( D )

A. [A-C]补

B. [B-C]补

C. [A+B]补

D. [B+C]补 1-9.能够实现“线与”的CMOS 门电路叫( D )

A. ( 与门 )

B. ( 或门 )

C. (集电极开路门)

D. (漏极开路门) 1-10.CMOS 三输入或非门的实现需要( C )个晶体管。

A. ( 2 )

B. ( 4 )

C. ( 6 )

D. ( 8 ) 1-11.三态门的三个输出状态分别为:逻辑“1”、逻辑“0”和( C )

A. (短路)

B. ( 5V )

C. (高阻)

D. ( 0.3V ) 1-12.与()x y xz ''+等价的逻辑关系为( D )

A. XYZ

B. XY ’+XZ ’

C. XY ’+X ’Z ’

D. XY ’Z

………密………封………线………以………内………答………题………无………效……

1-13.逻辑式

(),,2,3,4,5A B C

等价的标准和表达式为( B )

A. AB A B ''+

B.

(),,0,1,6,7A B C

C. A B AB ''

+

D.

(),,2,3,4,5A B C

1-14.表示148个不同的符号或状态,至少需要多少位二进制编码( C )

A.4位

B. 6位

C. 8位

D. 10位

1-15.对于按照逻辑式F AC BC '=+实现的电路,下列说法正确的是( A )

A. 存在静态1型冒险

B.存在静态0型冒险

C.存在上述两种冒险

D. 上述两种冒险都不存在

二、选择题(单选题,每题3分,共45分)

2-1.逻辑式

(),,,6,7,8,9,13,14,15W X Y Z

的最简和之积表达式为( A )

A. ()()()W Y X Y X Y Z ''++++

B. ()()()W Y X Y X Y Z '''''++++

C. ()()()W Y Z X Y W X Y '''''+++++

D. ()()()W Y Z X Y W X Y '''+++++

2-2.利用二选一多路复用器(Y=SD 1+S ’D 0),可以实现多种不同的逻辑功能。下面电路中,能够实现F=A ?B 功能的是( A )。

2-3. 用卡诺图(Karnaugh Map )求下列逻辑函数F =

)15,4(d )13,9,8,7,6,5,1(Z

Y,X,W,+∑

的最简积之和表达式(与或表达式)是( B )

A . F= W ’X + Y ’Z + WX ’Z ’ + XZ

B 。 F= W ’X + Y ’Z + WX ’Y ’

C . F= W ’XY + Y ’Z + WX ’Z ’

D 。 F= W ’XZ ’ + Y ’X ’Z + WX ’Z ’ + XZ

2-4. 在同一四变量逻辑系统中,函数F1 = ∑ABCD (2,4,5,7,9,14) 和F2 = ∏ABCD (1,6,8,10,11,13) 之间满足( A )关系。

A. 对偶

B. 相等

C. 香农展开

D. 反演(互非)

2-5. 采用与或结构设计一个3输入表决器(输入占多数时输出高电平),至少需要采用多少

个与门( B )

A. 2个

B.3个

C.4个

D.5个

………密………封………线………以………内………答………题………无………效……

2-6. 已知逻辑函数为:F =(((A +B)’ + C ’ )’ + D)’, 在下面的四张真值表F I 、F II 、F III 、F IV 中,符合上述要求的真值表是( C )。

A. F I

B. F II

C. F III

D. F IV

2-7. 已知二变量输入逻辑门的输入A 、B 和输出F 的波形如图所示,判断是( D

)逻辑门的波形。

A. 与非门

B. 异或门

C. 同或门

D. 无法判断

2-8. 以下描述一个逻辑函数的方法中只有( C )能唯一表示。

A.表达式

B.逻辑图

C.真值表

D.波形图

2-9. 用八选一多路复用器74x151实现四变量函数

∑=ABCD F )15,13,12,10,9,7,6,3(,若电路的部分连接如图所

示,则74x151的输入D2端应接( A )。 A. 逻辑0 B. 逻辑1 C. 输入D D. 输入D 取非

2-10. 逻辑函数())12,11,10,3,0(15,14,9,8,2,1,,,d F

Z Y X W +=∑;其最简和之积表达式为( B ).

A. ( (W +X ’)(X ’+Y+Z ’) )

B. ( (W+X ’)(X ’+Y) )

C. ( (X+Y ’) (W ’+X) )

D. ((W ’ +X)(X+Y ’+Z) )

A

F B

………密………封………线………以………内………答………题………无………效……

2-11. 计算机内以2的补码形式存有多个二进制有符号数。所有数字的长度都是8位。则若计算机内数

码 A=01011010, B=10001011, 则 -A+B=( B )。 A.(00110001,无溢出) B.(00110001,溢出) C.(00110101,溢出) D.(00110101,无溢出)

2-12.在下列电路中,设每个门电路的平均延迟时间为5ns 。在稳定状态下,若A 在时间t=0时从高电平突变到低电平,则F 发生第二次电平变化的时间为( D )

A.t=5ns

B. t=10ns

C. t=15ns

D. t=20ns

2-13.优先编码器74LS148输入为: I 0-L ,I 1-L ,I 2-L ,I 3-L ,I 4-L ,I 5-L ,I 6-L ,I 7-L ,输出为Y 2-L ,Y 1-L ,Y 0-L 。I 7-L 具有最高优先级,当使能输入S _L =0 , I 2-L =I 5-L =I 6-L =0, I 0-L =I 1-L =I 3-L =I 4-L =I 7-L =1时,输出Y 2-L ,Y 1-L ,Y 0-L 应为( B ).

A.( 110 )

B. ( 001 )

C. ( 010 )

D. ( 101 )

2-14.右边电路中,当C1,C2=( D )时,F=(A+B)’。 A .(0,0) B .(0,1) C .(1,0) D .(1,1)

2-15.某组合逻辑电路的输入波形A ,B ,C 和输出波形F 如下图所示。该电路的标准和表达式为( D )

A. ()

,,1,3,5,7A B C

B. (),,0,2,4,6A B C

∑ C. ()

,,2,3,5,7A B C

D.

(),,1,2,4,7A B C

三、选择题(多选题,每题2分,共10分)

评分要求:全对得2分,有错扣1分,全错不得分。

3-1.已知有二输入逻辑门,输入A 、B 与输出F, 若满足A=1, B=1时, F=0,则A , B 与F 之间的逻辑关系可能是( A 、 C 、 D )

A. 异或

B. 同或

C. 与非

D. 或非

………密………封………线………以………内………答………题………无………效……

3-2. 在4输入CMOS 与非门的使用中,如有未用输入信号端应作( A 、B )的处理。 A. ( 接电源正极 ) B. ( 接逻辑“1” ) C. ( 接逻辑“0” ) D. ( 接地 )

3-3.下列可能产生两组竞争—冒险问题的逻辑函数是( A 、D )

A. F=A’·B + A·C + B’·C

B. F=A’·B + A·C + B·C

C. F=(A+B)·(B’+C)·(C+D)

D. F=(A+B’)·(B+C)·(C’+D)

3-4.已知函数)'''()''(),,,(C B D C AB D C B A F ++=,则它的最简表达式有( A 、B )。 A.( A ’+B+C ’D ’ ) B.( (A ’+B+C ’)(A ’+B+D ’) ) C.(A ’+B+B ’C ’D ’) D.(A ’+B )

3-5.使得4输入CMOS 或非门的输出为“0”的输入情况有 ( A 、D 、E )

A. 全部输入取“1”

B. 全部输入取“0”

C. 全部输入悬浮(不接)

D. 全部输入中有“0”

E. 全部输入中有“1”

四、分析、设计题部分:

4-1.试采用与或结构设计一输入为8421BCD 码的译码器,分别采用利用无关项进行化简和不利用无关项进行化简,请比较两种方案实现的译码器中所使用的一级与门数量以及与门输入端数量的差异。 解:

一级与门的总数量不变;

利用无关项进行化简较不利用无关项进行化简一级与门的总输入端少10个。 卡诺图中无关项分布

………密………封………线………以………内………答………题………无………效……

4-2.电路图如下所示,求出所有可能产生静态冒险的输

入变量变化组合。(5 分)

解:

求出电路的输出,

Y=ABC+AB’CD+ABC’D+BCD

当A=B=D=1,C变化时,以

及当A=C=D=1,B变化时存

在静态1冒险。

4-3.可以用4位加法器74283实现两个2位二进制数的乘法。设计思路

为:设二进制数X1X0和Y1Y0相乘,其乘积F=F3F2F1F0,则计算过程为:按上述算法,补充完成逻辑电路图的设计。(74X283的输出与输入的关系为:F0=A1⊕B1⊕CIN, C0=A1B1+CIN(A1+B1); F i=A i+1⊕B i+1⊕C i Ci= A i+1B i+1+C i(A i+1+B i+1); i=1,2,3 COUT=C3)。(5 分)

解:

X1 X0

Y1 Y0

X1Y0 X0Y0 + X1Y1 X0Y1

F3 F2 F1

F0

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

电子科技大学期末数字电子技术考试题a卷-参考答案教学内容

电子科技大学二零零九至二零一零学年第 二 学期期 末 考试 数字逻辑设计及应用 课程考试题 A 卷(120分钟)考试形式:闭卷 考试日期2010年7月12日 课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末 60 分 一、To fill your answers in the blanks (1’×25) 1. If [X]10= - 110, then [X]two's-complement =[ 10010010 ]2, [X]one's-complement =[ 10010001 ]2. (Assumed the number system is 8-bit long) 2. Performing the following number system conversions: A. [10101100]2=[ 000111010010 ]2421 B. [1625]10=[ 0100100101011000 ]excess-3 C. [ 1010011 ]GRAY =[ 10011000 ]8421BCD 3. If ∑=C B A F ,,)6,3,2,1(, then F D ∑=C B A ,,( 1,4,5,6 )=C B A ,,∏(0,2,3,7 ). 4. If the parameters of 74LS-series are defined as follows: V OL max = 0.5 V , V OH min = 2.7 V , V IL max = 0.8 V , V IH min = 2.0 V , then the low-state DC noise margin is 0.3V ,the high-state DC noise margin is 0.7V . 5. Assigning 0 to Low and 1 to High is called positive logic. A CMOS XOR gate in positive logic is called XNOR gate in negative logic. 6. A sequential circuit whose output depends on the state alone is called a Moore machine. 7. To design a "001010" serial sequence generator by shift registers, the shift register should need 4 bit as least. 8. If we use the simplest state assignment method for 130 sates, then we need at least

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电路期末复习题

. 第一套 一、选择题(本大题共10道小题,每小题2分,共20分。) 1. 用编码器对16个信号进行编码,其输出二进制代码的位数是( ) A.2位 B.3位 C.4位 D.16位 2. 逻辑函数F=(A+B)(B+C )的对偶式F ′=( ) A.B A +B C B.AB+B C C. B A +C D.AB+B C 3.一个8选一数据选择器的地址输入端有_______个。( ) A.1 B.2 C.3 D.4 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 5. 如下图所示电路中,只有______不能实现Q n+1 =n Q 。( ) 6.下列各函数等式中无冒险现象的函数式有( ) A.F= F=C B +AC+A B+BC+A B +C A B.F=C A +BC+A B C.F=A C +BC+A B +A B D.C B +AC+A B 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A.J=K=0 B.J=K=1 C.J=O ,K=1 D.J=1,K=0 8. 下列电路中,不属于组合逻辑电路的是( ) A.编码器 B.全加器 C.寄存器 D.译码器 9. 可以用来实现并/串转换和串/并转换的器件是( ) A.计数器 B.全加器 C.移位寄存器 D.存储器 10. 自动产生矩形波脉冲信号为( ) A.施密特触发器 B.单稳态触发器 C.T 触发器 D.多谐振荡器

1. 八进制数(34.2 ) 8的等值二进制数为;十进制数98 的8421BCD 码 为。 2. 二极管内含PN结,PN结在导电性能上的最大特点是_______________。 3.函数 ) (D C A AB A Y+ + + = ,其反函数为,对偶式为。 4.常见的脉冲产生电路有,常见的脉冲整形电路有。 5. A/D转换器的主要参数有,。 6. 四位环型计数器和扭环形计数器,初始状态是1000,经过5个时钟脉冲后,状态分别为和。 7. 对于JK触发器的两个输入端,当输入信号相反时构成触发器,当输入信号相同时构成触发器。 8. 时序逻辑电路的输出不仅和____ ___有关,而且还与___ ________有关。 9. TTL或非门多余输入端应.三态门的输出除了有高、低电平外,还有一种输出状态叫态 10. D触发器的特征方程为,JK触发器的特征方程为。 三、作图题(本大题共2道小题,每小题6分,共12分。) 1、如下图所示,根据CP波形画出Q波形。(设各触发器的初态均为1) 2、试说明如下图所示的用555 定时器构成的电路功能,求出U T+、U T-和ΔU T, 得分 阅卷人

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数字电子期末考试练习题

第一部分 门电路 一、 填空题 1. 数字集成电路按开关元件不同,可分为 TTL 集成电路 和 CMOS 集成电路 两大类。 2. 数字电路中的三种基本逻辑门电路是 与门 、 或门 、 非门 。 3.三态门是在普通门的基础上增加 控制 电路构成的,它的三种输出状态是 高电平、 低电平 和 高阻态 。 4. 与门、与非门的闲置输入端应接 高 电平;或门、或非门的闲置输入端应接 低 电平。 5. 图1所示三态门在1EN =时,Y 的输出状态是 高阻态 。 6. 利用TTL 与非门实现输出线与应采用 OC 门,实现总线传输应采用 三态 门。 7. 图2为几种常见逻辑门电路的逻辑符号,试分别写出其名称和逻辑表达式。 名称 逻辑表达式 名称 逻辑表达式 (a ) 与门 Y=AB ; (b ) 非门 ; (c ) 与非门 ; (d ) 或非门 。 8. 当决定某一件事情的多个条件中有一个或一个以上具备时,该件事情就会发生,这种关系称为 或 逻辑关系。 二、 选择题 1. 下列几种逻辑门中,能用作反相器的是 C 。 A. 与门 B. 或门 C. 与非门 2. 下列几种逻辑门中,不能将输出端直接并联的是 B 。 A. 三态门 B. 与非门 C. OC 门 3. TTL 与非门的输入端在以下四种接法中,在逻辑上属于输入高电平的是 C 。 A. 输入端接地 B. 输入端接同类与非门的输出电压 C. 输入端经10k Ω电阻接地 D. 输入端经51Ω电阻接地 4. TTL 与非门的输入端在以下4种接法中,在逻辑上属于输入低电平的是 D 。 A. 输入端经10k Ω电阻接地 B. 输入端接同类与非门的输出电压 C. 输入端悬空 D. 输入端经51Ω电阻接地 5. 逻辑电路如图3所示,该电路实现的逻辑关系为 C 。 A. Y AB = B. Y AB = C. Y AB = D. Y A B =+ EN EN & ▽ Y A B 图1 填空题5用图 & A B Y (a Y A B & Y A B ≥1 (d) (c) 图2 填空题7用图 1 A (b

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术基础试题和答案

一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入()电平。 5.基本逻辑运算有: ()、()和()运算。 6.采用四位比较器对两个四位数比较时,先比较()位。 7.触发器按动作特点可分为基本型、()、()和边沿型; 8.如果要把一宽脉冲变换为窄脉冲应采用()触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。 10.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。 11.数字系统按组成方式可分为、两种; 12.两二进制数相加时,不考虑低位的进位信号是()加器。 13.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 15.计数器按CP脉冲的输入方式可分为___________和___________。 16.触发器根据逻辑功能的不同,可分为___________、___________、___________、___________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用___________、___________、___________等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有个稳态,它可存储位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。 20.把JK触发器改成T触发器的方法是。 二.数制转换(5分): 1、(11.001)2=()16=()10 2、(8F.FF)16=()2=()10 3、(25.7)10=()2=()16 4、(+1011B)原码=()反码=( )补码 5、(-101010B)原码=()反码=( )补码

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

《数字电路》期末模拟试题及答案

数字电子电路 模拟试题-3 题 号 一 二 三 四 总 分 得 分 一、填空题(共30分) 1. 逻辑变量的异或表达式为: _____________________ =⊕B A B A B A + 2. 二进制数A=1011010;B=10111,则A -B=__(1000011)2_____。 3. 组合电路没有______功能,因此,它是由______组成。 4. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 5. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 6. 下图所示电路中,Y 1 =______;Y 2 =______;Y 3 =______。 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .( )()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 得 分 评 卷 人 得 分 评 卷 人 A 1 B Y 2 A B C Y 1 A B Y 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += A B C Y A B C Y 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++? 四、分析设计题 (共 30分) 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 101130112011101101S A A D A A D A A D A A D Y ?++?+?=)( 201230122012101202S A A D A A D A A D A A D Y ?++?+?=)( 得 分 评 卷 人 得 分 评 卷 人 Y 1 Y 2 A S

数字电路基础试题及答案

陕西理工学院成教学生考试试卷姓名:年级:专业: 科目:数字电路学历层次: 一、填空:(25分) 1、(10110)2=( )10=( ) 16 ( 28 ) 10=( ) 2 =( ) 16 (56) 10=() 8421BCD 2、最基本的门电路是:、、。3、有N个变量组成的最小项有个。 4、基本RS触发器的特征方程为_______ ,约束条件是__. 5、若存储器的容量是256×4 RAM,该RAM有 ___存储单元,有字,字长 _____位,地址线根。 6、用N位移位寄存器构成的扭环形计数器的模是________. 7、若令JK触发器的J=K=T则构成的触发器为_______. 7、如图所示,Y= 。9、如图所示逻辑电路的输出Y= 。 10、已知 Y=D AC BC B A+ +,则 Y= , Y/=。 11、组合逻辑电路的特点是_________、___________;与组合逻辑 电路相比,时序逻辑电路的输出不仅仅取决于此刻 的_______;还与电路有关。 二、化简(20分) 1、公式化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++ 2、用卡诺图法化简下列逻辑函数 (1)Y BCD BC ACD ABD =+++ — — 下 — — — — — — — — — — 装 — — — — — — — — — — 订 — — — — — — — — — — 线 — — — — — — — — — — —

(2)(1,3,4,9,11,12,14,15)(5,6,7,13)m d Y =∑+∑ 三、设下列各触发器初始状态为0,试画出在CP 作用下触发器的输出波 形(10分 ) 四、用74LS161四位二进制计数器实现十进制计数器。(15分) 五、试分析如图电路的逻辑功能,设各触发器的初始状态为0。(15分) r C Q A 、Q B 、Q C 、Q A 、B 、C 、 D :数 P 、T :计数选通端r C :异步复位端CP :时钟控制输入D L :同步并置数 C :位输出端;

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

相关主题
文本预览
相关文档 最新文档