当前位置:文档之家› 数字电路与逻辑设计实验电子制作内容(DOC)

数字电路与逻辑设计实验电子制作内容(DOC)

数字电路与逻辑设计实验电子制作内容(DOC)
数字电路与逻辑设计实验电子制作内容(DOC)

项目四:数字电子设计内容

一、目的要求

1、熟悉数字系统的设计步骤。

2、掌握数字系统的设计思想及实现方法。

二、制作内容

1、声音响度显示电路

●任务目标

设计声音响度显示电路,使其能够显示正常说话的音量,通过发光二极管来判别说话的声音大小,并能够根据声音大小显示出亮度。

●任务分析

根据任务目标,绘制原理框图如图所示

图2-4-1 声音响度原理框图

电路包括声音检测电路和显示电路两个部分,声音检测电路使用放大器LM386来实现放大咪头拾取的语言信号,声音大小显示电路用来检测无声状态、声音较小状态和声音足够大状态后用二极管作出相应的显示。

根据任务目标,设计声音响度显示电路,如图所示

图2-4-2 声音响度仿真原理图(proteus环境)

图中电路是由六个反相器CD4069构成的声音响度显示电路。电路主要由两只集成块和6只发光二极管构成。LM386是一块音频功率放大集成电路;CD4069是一块非门集成电路;咪头提供给LM386声音声源。

(1)供电电路

电池盒供给5V直流电压用于响度检测和显示电路作工作电压。(2)声音检测放大电路

声音检测电路由咪头和LM386为主构成,由咪头检拾到的声音信号,经变换为电信号后加至LM386放大输出,通过二极管对电容进行充电,由此即可将声音的大小变换为电容上所充电压的大小。(3)显示电路

显示电路由CD4069与6只发光二极管共同组成,CD4069的6只非门的触发电平均为电源电压的1/2,R1-R6为降压电阻,由于降压电阻的作用,使咪头上的充电电压分配到6只非门输入端的作用电压依次降压,也就是使非门1至非门6翻转时所需的咪头端电压依次升高。

无声状态:当无声音被咪头检拾到时,电容两端电压略低于电源电压1/2,6只非门电路的输入端均处于低电平状态,其输出均为高电平,故LED1-LED6发光二极管均不会点亮。

声音较小状态:当咪头检拾到的声音较小时,咪头两端所充电压较低,只有前面一个或两个非门被触发器翻转输出低电平,以使相对应的发光二极管被导通发光。

声音足够大状态:当咪头检拾到声音足够大时,咪头两端的充电电压会接近电源电压,该电压虽经R1-R5降压,但在R6的上端仍可分得超过1/2电源电压的作用电压,使非门6也翻转,并输出低电平,

点亮LED6发光二极管。此时LED1-LED6全部点亮,以显示声音的响度最高。

这种声音响度显示电路适用于娱乐中测量掌声、喊叫声的响度,也可用于家庭音响系统,作为音响电平指示器等。

●任务难点

R1-R6分压电阻的选取。电路设计者首先要在multisim或proteus 中仿真出结果,仿真时,声音信号可以用信号发生器代替。当仿真出结果时,电阻值基本上粗略估定,然后用万用版插接实验,插接时可以电阻可以使用可变电阻代替,当实验得出效果后,测出实际电阻用固定电阻代替。

●所用元件列表

●任务要求

(1)要做出multisim或proteus仿真图。

(2)要做出protel原理图和PCB图,设置最小线宽及线间距大于等于8mil(0.2mm),焊盘内径为20mil-40mil(0.6-1mm),焊盘外径大于等于60mil(1.5mm)。

(3)做出实物要考虑电源开关,咪头输入和发光二极管等输入输出及调整元件的位置以便包装。

(4)要写出设计文档,要求文章结构同电子类设计文档一样。文档中要求写出LM386的有关电路,并说明本电路放大了多少倍,写出CD4069非门的管脚图及功能,写出分压电路各点的参考电压等关键性数据,写出咪头的相关参数,给出LM386输出电压的范围。

2、水箱水位检测电路

●任务目标

水位监测电路监测到水箱不同的水位后,通过译码器译码输出控制音响电路发出不同声音或闪烁提示。

●任务分析

根据任务要求,该系统包括水位监测电路、译码器、音响电路、载频振荡电路4个部分,如下图所示。水位检测电路用来检测水位信号,当水位到达某一位置时,给出输出信号,译码器电路主要目的是把水箱水位信号转换为控制信号,显示电路把水位信号能够显示出来。

图2-4-3 水位监测电路原理框图

●任务设计

水箱水位监测电路设计图如下图所示:

图2-4-4水位监测电路仿真图(protues环境)

电路主要由2块集成电路和四只发光二极管组成。其中:与非门的型号为CD4011,是一块2输入4与非门数字集成电路;CD4514是一块4-16译码器(高电平有效)数字集成电路。

●任务实现

(1)水位检测与信号转换

水箱中的水位用波动开关进行模拟。U1A-U1B组成4个反相器,将水位高低转换为4组二进制码加到CD4514的2、3、21、22脚上。(2)信号译码

CD4514是一块4-16译码器,它对检测到的水位信号进行译码,译码后的结果分别去控制发光二极管的亮灭。

(3)显示电路

通过二极管来显示水位的不同变化。

●所用元件列表

●任务要求

(1)要做出multisim或proteus仿真图。

(2)要做出protel原理图和PCB图,设置最小线宽及线间距大于等于8mil(0.2mm),焊盘内径为20mil-40mil(0.6-1mm),焊盘外径大于等于60mil(1.5mm)。

(3)做出实物要考虑电源开关,咪头输入和发光二极管等输入输出

及调整元件的位置以便包装。

(4)要写出设计文档,要求文章结构同电子类设计文档一样。文档中要求写出CD4514的相关技术资料及使用方法和作用,写出CD4011的相关技术资料,分析此处使用4514的目的。

3、可预制时间的定时电路的设计

●任务目标

用计数器构成可预制时间的定时电路,应用于各种智力和知识竞赛场合,并设定抢答时间。

●任务分析

根据任务目标,绘制原理框图如下图。

图2-4-5定时电路原理框图

该设计包括秒脉冲发生器电路、计数器、显示译码器和数码管4个部分。在智力和知识竞赛中,节目主持人根据抢答题的难易程度,通过计数器设定抢答时间,显示译码器驱动数码显示对应时间,秒脉冲电路给计数器提供时钟脉冲。

●任务设计

设计电路如下图所示:

图2-4-6定时电路仿真图(proteus)

电路由2块十进制同步加/减计数器192预制答题的抢答时间,计数器的时钟脉冲由555时基电路组成的多谐振荡器提供,显示译码器48驱动数码管显示预制的时间。

●任务实现

(1)秒脉冲电路

555时基电路组成多谐振荡器,产生一定频率的矩形脉冲。脉冲周期由电容C的充放电时间决定,T=0.7(R1+2R2)C=1s。

(2)时间显示电路

时间显示电路选用2块显示译码器74ls48来驱动数码管显示预制的时间。

(3)预制时间电路

预制时间电路选用2块十进制同步加/减计数器192进行设计。两个集成块的时钟脉冲均从DN(down)输入。低位片的DN接秒脉冲电路产生的秒脉冲信号,高位片的DN接低位片的借位端BO’,低位片每计10个秒脉冲,高位片计1个,即高位片预制定时时间的十位数,低位片预制定时时间的个位数。假设定时时间预制为30s,则预制高位片数据端D3D2D1D0=0011,低位片数据的D3D2D1D0=0000。

接通电源和控制开关S,七段数码管显示数据“30”,然后断开控制开关S。每过1s,数码管显示数据递减,直到00,数码管显示数据就不再变化。

●所用元件列表

任务要求

(1)要做出multisim或proteus仿真图。

(2)要做出protel原理图和PCB图,设置最小线宽及线间距大于等于8mil(0.2mm),焊盘内径为20mil-40mil(0.6-1mm),焊盘外径大于等于60mil(1.5mm)。

(3)做出实物要考虑电源开关,预置开关和发光二极管等输入输出及调整元件的位置以便包装。

(4)要写出设计文档,要求文章结构同电子类设计文档一样。文档中要求写出74LS192、74LS48和74LS00的管脚图及功能表。

试说明两个与非门在电路中的作用并计算时钟电路的参数。

4、按键式加减电路的设计

●任务目标

用一个拨动开关控制加减,用按键或一个秒脉冲发生器来产生时钟脉冲,当开关拨动到加时,按键实现加计数,当开关拨动到减时,按键实现减计数。

●任务分析

根据任务目标,绘制原理框图如下图。

图2-4-7按键式加减电路原理框图

该设计包括秒脉冲发生器电路(或按键)、计数器加减控制、显示译码器和数码管4个部分。在很多应用场合,需要通过一个开关来控制加减计数控制,通过按键来实现加减计数。显示译码器驱动数码显示对应时间,秒脉冲电路给计数器提供时钟脉冲。

●任务设计

设计参考电路如图所示:

图2-4-8按键式加减电路仿真图(Proteus环境)

任务实现

(1)秒脉冲电路

555时基电路组成多谐振荡器,产生一定频率的矩形脉冲。脉冲周期由电容C的充放电时间决定,T=0.7(R1+2R2)C=1s。或是通过按键方式来实现加减计数。555时基电路图参考上例。

(2)时间显示电路

时间显示电路选用1块显示译码器74ls48来驱动数码管显示计数内容。

(3)计数加减控制电路

加减控制可以通过一个单刀双掷开关来控制,通过它的拨动来选择加或减计数,再配合秒脉冲发生器就可以实现上述功能。

●所用元件列表

●任务要求

(1)要做出multisim或proteus仿真图。

(2)要做出protel原理图和PCB图,设置最小线宽及线间距大于等于8mil(0.2mm),焊盘内径为20mil-40mil(0.6-1mm),焊盘外径大于等于60mil(1.5mm)。

(3)做出实物要考虑电源开关,预置开关等输入输出及调整元件的位置以便包装。

(4)要写出设计文档,要求文章结构同电子类设计文档一样。文档

中要求写出74LS192、74LS48的管脚图及功能表。试说明控制加减功能的实现。

(5)如果要控制两位数的加减,试设计其实现电路。

5、四人抢答电路

●任务目标

用D触发器74LS175设计一个四人抢答器,当四人中有一人按下按键则屏蔽其他人继续按下。

●任务分析

根据任务目标,绘制原理框图如下图。

图2-4-9四人抢答电路原理框图

该设计包括按键电路、多触发器记忆电路、锁定电路和显示电路4个部分。

●任务设计

设计电路如下图所示:

图2-4-10四人抢答电路仿真图(proteus环境)

时钟信号采用100Hz,可以自己参考上面的例子用555定时器设计。

任务实现

(1)按键电路

当没有按键按下时,按键电路输出为低电平,当有按键按下时,输出为高电平。

(2)触发器记忆电路

74LS175的1引脚为清零信号,当按下与它连接的按钮时,触发器输出清零,即Q0Q1Q2Q3=0000,相应的二极管都不亮。当有一人按下按键时,屏蔽了时钟输入信号,此时其他人按下也不能使状态进入触发器。

(3)显示电路

采用发光二极管用来显示按下的位置。

●用元件列表

●任务要求

(1)要做出multisim或proteus仿真图。

(2)要做出protel原理图和PCB图,设置最小线宽及线间距大于等于8mil(0.2mm),焊盘内径为20mil-40mil(0.6-1mm),焊盘外径大于等于60mil(1.5mm)。

(3)做出实物要考虑电源开关,预置开关等输入输出及调整元件的位置以便包装。

(4)要写出设计文档,要求文章结构同电子类设计文档一样。文档中要求写出74LS175、74LS20和74LS00的管脚图及功能表。

试说明抢答功能的实现及锁定抢答电路的原理。

(5)如果有8个人抢答,试设计其实现电路。

6、三态逻辑电平笔

●任务目标

设计一三态逻辑电平笔,不仅可以检测出电路的高电平、低电平与高阻状态,还可以测出电路中是否存在脉冲信号。

●任务分析

根据任务目标,绘制原理框图如下图。

图2-4-11三态逻辑电平原理框图

该设计包括检测电路、显示电路2个部分。

●任务设计

设计电路如下图所示:

图2-4-12三态逻辑电平仿真图(proteus环境)

当开关拨动到上方时,探笔与高电平连接,发光二极管D3导通发光,当开关拨动到中间时,探笔为高阻悬空状态,两个发光二极管都不发光,当开关拨动到下方时,发光二极管D4导通发光。

任务实现

(1)检测电路

当电路的测试点没有接触电路或者接触的是高阻状态时,由于电阻R3、R4和二极管D1、D2的分压作用,使PNP型三极管Q2的基极电压约为3.2V,它的发射级电压约为3.8V。三极管Q2截止,发光二极管D4不亮(因为发光二极管的电压降为1.8V)。同样此时NPN 型三极管Q1的基极电压约为1.8V,它的发射极电压约为1.2V,发

光二极管D3也不亮。

R5的作用是减小逻辑电平笔对被测电路的影响。R1和R2的作用是限流。

(2)显示电路

当电路的测试点接触到高电平时,三极管Q1的基极电位升高,三极管Q1导通,发光二极管D3亮。而三极管Q2仍然截止,发光二极管D4不亮。

当电路的测试点接触到低电平时,三极管Q2的基极电位降低,三极管Q2导通,发光二极管D4亮。而三极管Q1仍然截止,发光二极管D3不亮。

●用元件列表

●任务要求

(1)要做出multisim或proteus仿真图。

(2)要做出protel原理图和PCB图,设置最小线宽及线间距大于等

2011-年全国大学生电子设计竞赛实验报告

2011 年全国大学生电子设计竞赛实验报告 一、实验目的 1、熟练掌握各种常用实验仪器的使用方法。 2、熟悉LM324运放的典型参数及应用。 3、掌握PDF 资料的查询与阅读方法。 4、掌握电子设计与调试的基本流程及方法。 二、实验内容 设计要求: 使用一片通用四运放芯片LM324组成电路框图见图1,实现下述功能: 1. 使用低频信号源产生100.1sin 2()i U f t V =∏,f 0 =500Hz 的正弦波信号,加至 加法器输入端。 2. 自制三角波产生器产生T=0.5ms (±5%),V p-p =4V 的类似三角波信号1o u ,并加至加法器的另一输入端。 3. 自制加法器,使其输出电压U i2 = 10U i1+U o1。 4. 自制选频滤波器,滤除1o u 频率分量,得到峰峰值等于9V 的正弦信号2o u ,2o u 用示波器观察无明显失真。 5.将1o u 和2o u 送入自制比较器,其输出在1K Ω负载上得到峰峰值为2V 的输出电压3o u 。 方案论证与数值计算: 由于电源只能选用+12V 和+5V 两种单电源,由稳压电源供给,而

LM324N具有宽的单电源或双电源工作电压范围,单电源:3-30V,双电源:1.5V-15V,经过试验我们选择双电源供电,所以进行电源的搭建

三角波发生部分: 方案一: 三角波发生器电路按照由方波经过积分电路得到,需要两个放大器,不满足实验要求。 方案二: 利用RC充放电模拟三角波,通过两个电位器分别来调节周期和峰峰值至实验要求的值。达到合理利用现有资源高效达到要求的目的。因此我们采用方案二。题目要求三角波发生器产生的周期为T=0.5ms,Vpp=4V的类似三角波。我们由公式T=2*R14*C1*ln(1+2*R3/R15)另外运放1端输出电压设为U,则Uo1=(R15/(R15+R1))*U。选取电容为较常见的47nf , 计算得R1=2R14;R14=0-5K,所以取R1为0-10k;得到R15=0-10K; 加法器部分

数字电路与逻辑设计

专升本《数字电路与逻辑设计》作业练习题6 解析与答案 一、单选题(选择最合适的答案) 1. 哪种逻辑门“只有在所有输入均为0时,输出才是1”? () A.或非门B.与非门C.异或门D.与或非门 答案:A 解析: 或非门 2.设两输入“与非”门的输入为x和y,输出为z,当z=1时,x和y的取值一定是() A. 至少有一个为1 B. 同时为1 C. 同时为0 D. 至少有一个为0 答案:D 解析: 与非逻辑 3. 两输入与非门输出为0时,输入应满足()。 A.两个同时为1 B.两个同时为0 C.两个互为相反D.两个中至少有一个为0 答案:A 解析:输入全为1 4. 异或门的两个输入为下列哪—种时,其输出为1? A.1,l B.0,1 C.0,0 D.以上都正确 答案:B 解析: 输入不同 5. 下列逻辑门中哪一种门的输出在任何条件下都可以并联使用?()A.具有推拉式输出的TTL与非门B.TTL集电级开路门(OC门) C.普通CMOS与非门D.CMOS三态输出门 答案:B 解析: A,C普通与非门不能并联使用; D三态输出门并联使用是有条件的:它们的使能端(控制端)必须反向,即只能有一个门处于非高阻态

ADABB 二、多选题(选择所有合适的答案) 用TTL 与非门、或非门实现反相器功能时,多余输入端应该( ) A .与非门的多余输入端应接低电平 B. 或非门的多余输入端应接低电平 C. 与非门的多余输入端应接高电平 D. 或非门的多余输入端应接低高平 答案:BC 解析: 多余输入端对与逻辑要接1,对或逻辑要接0 三、简答题 1. 分析如下两个由或非门、异或门、非门以及与非门构成的逻辑电路,请你:①写出F1和F2的逻辑表达式;②当输入变量A ,B 取何值时,两个电路等效? 答案:{ ① 根据图可写出两个电路的输出函数表达式分别为: 12()F A A B A A B A A B A A B A AB AB A A B F AB A B =⊕+=?++?+=??+++=+==+ = ②列出两个电路的真值表: 可见,无论A,B 取任何值,两个电路都等效。 }

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

电子设计大赛实验报告

2014年江苏省大学生电子设计竞赛实验报告 无线电能传输装置(F题) 2014年8月15日 摘要:本设计基于磁耦合式谐振荡电路来进行无线电能传输,点亮LED灯。由于输入和输出都是直流电 的形式,因此本系统将分为以下四个部分:第一部分为驱动电路(DC-AC),为使直流分量转化成交流电并通过耦合线圈将电能传输给负载,采用LC谐振的方式让回路中电容和电感构成一个二阶LC谐振电路,驱动MOS管形成交流电。第二部分为发射电路(AC-AC),应用电磁感应原理,在二次线圈中产生感应电流并输给接受电路。第三部分为电能转换电路(AC-DC),输出的感应交流电经整流桥桥式整流后流入升压电路。第四部分为升压电路(DC-DC),对整流之后的直流进行升压,防止整流后的电压无法驱动LED。本设计分模块搭建并对各个部分电路进行原理分析。在调试时,采用分模块调试,根据调试结果修改参数,最终形成一个完整的稳定系统。 关键词: 磁耦合式谐振荡电路LC振荡电路桥式整流DC-DC升压 [Abstract] The design is based on magnetic resonance oscillation circuit coupled to the wireless power transmission, lit LED lights. Since the input and output are in the form of direct current, so the system will be divided into the following four parts: The first part of the drive circuit (DC-AC), is converted into alternating current so that the DC component and the power transmission through the coupling coil to the load, using LC resonant circuit in a manner so that the capacitance and inductance form a second order LC resonant circuit, the AC drive MOS tube formation. The second part is the transmitter circuit (AC-AC), application of the principle of electromagnetic induction,

数字电路与逻辑设计试题与答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于 ______偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A-B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1=______,其约束方程为:_____ _。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___ 个输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y =______;Y 3 =_____ 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F *为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()() D C B A ++ 1 A B 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B. 每个与项中含有的变量个数少 C. 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子设计实验报告——充电器

实验报告 实验课程名称直流稳压电源及充电器 专业班级电信1403班 学生学号2014213940 学生姓名凌志云 实验指导教师黄光明

实验课程名称:电子设计1 一、实验项目名称:ADS06-2型直流稳压电源及充电器设计 一般晶体管电路都需要直流电源,而且是稳定的电源,才能正常工作,如收音机,电视机等。不管是用分立元件组成电路,还是用集成电路,其中都少不了放大信号的晶体管。为了保证晶体管能够保证放大信号,必须采用稳定的直流电源供电,稳定的直流电压可由干电池或蓄电池获得,但他们储蓄电量的能力有限,不能供应电器长时间工作。 稳定的直流电源可由交流电经过降压,然后经过稳压获得,这就是常见的稳压电源,他能供电器长时间工作。本课题主要设计一个连续可调稳压电路以及用这个电路对5号和7号电池进行充电,以实现其多功能化。 二、实验目的和要求: 实验目的: 1.学会直流稳压电源及充电器的设计方法和性能指标测试方法。 2.培养实践技能以及分析和解决实际问题的能力。 实验要求: 1.制作一个连续可调直流稳压电源及充电器,主要技术指标要求 (1)输出电压:交流220V,直流3V,6V (2)最大输出电流:500mA (3)电池充电器:左通道(E1,E2)充电电流50~60MA(普通充电);右通道(E3,E4)充电电流110~130mA(快速充电) 2.稳压电源和充电器可同时使用,但两者电流之和不能超过500mA 三、实验内容和原理: 1.直流稳压电源设计思路 (1)电网供电电压交流220V(有效值)50Hz,要获得低压直流输出,首先必须采用电源变压器将电网电压降低获得所需要交流电压。 (2)降压后的交流电压,通过整流电路变成单向直流电,但其幅度变化大(即脉动大)。 (3)脉动大的直流电压须经过滤波电路变成平滑,脉动小的直流电,即将交流成份滤掉,保留其直流成份。 (4)滤波后的直流电压,再通过稳压电路稳压,便可得到基本不受外界影响的稳定直流电压输出,供给负载RL。 2.直流稳压电源原理 直流稳压电源是一种将220V工频交流电转换成稳压输出6V、9V的直流电压的装置,它需要变压、整流、滤波、稳压四个环节才能完成,见图。

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

电子设计 实验报告.

台灯自动开关控制电路设计设计报告 设计任务 设计制作一个台灯自动开关控制电路设计 设计要求 (1).设计并制作一个台灯自动开关盒,以实现“人来灯亮,人走灯灭,光照灯灭”等节电功能; (2).台灯盒有交流电源输入线和交流电源输出插座,输出可接额定电压220V,功耗不大于60W的台灯; (3).具有两个传感器,即:(1)光敏传传感器。将其安装在开关盒适当的地方,作为检测光照;(2)对人体敏感的传感器,感应人体接近; (4).自动开关盒做好后,将台灯的电源插头插入开关盒的电源输出插座,便可实现如下功能:(1)在晚上,当没有足够的光源照到光敏元件上时,若有人靠近台灯,它便自动发光,人离开台灯后,能自动断开电源;(2)在白天有足够强的光线(以适合于阅读为标准)照射到光敏元件上时,无论人靠近台灯,它都不会亮; 一. 方案选择及电路的工作原理 为了实现智能开关自动调光的目的,准备了以下方案: 方案一: 首先,灯亮的前提是时间为晚上,所以首先要检测是白天还是晚上,通过光线强弱的不同来判定。运用光敏电阻来感应光线的变化。当白天光线强时,光敏电阻的阻值较小;而当晚上光线较弱时,其电阻阻值较大。通过阻值的变化所引起的一系列变化来确定白天还是黑夜。 运用红外发射接收对管检测人是否进入所在范围。在人进入之前,由于红外发射和接收管并行排列,接收管没有接收到红外信号,其电阻阻值很大;当人进入后,发射管发出的红外信号被人体反射,当接收管接收之后电阻阻值变小。通过阻值的变化引起电路中其他变量的变化来确定人是否在所测范围。 最后运用与门来使电路达到当天黑同时又有人时台灯自动打开。 方案二: 对于光线感应部分与方案一相同,而在人体检测的部分则改用红外感应器LHI907,它是利用红外技术,当人靠近时,里面的电路发生变化,输出信号。当红外感应器检测到有人靠近时,输出一个高电平。

数字电路与逻辑设计(人民邮电出版社)课后答案(邹红主编)

1-1将下列二进制数转换成等值的十进制数和十六进制数。 (1)(1101010.01)2; (3)(11.0101)2; (2)(111010100.011)2; (4)(0.00110101)2; 解:二进制数按位权展开求和可得等值的十进制数;利用进制为2k数之间的特点可以直接将二进制数转换为等值的十六进制数。 (1)(1101010.01)2=1×26+1×25+1×23+1×21+1×2-2 =(106.25)10=(6A.4)16 (2)(111010100.011)2=1×28+1×27+1×26+1×24+1×22+1×2-2+ 1×2-3=(468.375)10=(1D4.6)16 (3)(11.0101)2=1×21+1×20+1×2-2+1×2-4 =(3.3125)10=(3.5)16 (4)(0.00110101)2=1×2-3+1×2-4+1×2-6+1×2-8 =(0.20703125)10=(0.35)16 1-2将下列十进制数转换成等值的二进制数、八进制数和十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(378.25)10; (3)(56.7)10; (2)(194.5)10; (4)(27.6)10; 解法1:先将十进制数转换成二进制数,再用进制为2k数之间的特点可以直接将二进制数转换为等值的八进制数和十六进制数。 (1)(378.25)10=(101111010.0100)2=(572.2)8=(17A.4)16 (2)(194.5)10=(11000010.1000)2=(302.4)8=(C2.8)16

(3)(56.7)10 =(111000.1011)2=(70.54)8=(38.B )16 (4)(27.6)10 =(11011.1001)2=(33.44)8=(1B.9)16 解法 2:直接由十进制数分别求二进制、八进制和十六进制数。由于二进制 数在解法 1 已求出,在此以(1)为例,仅求八进制数和十六进制数。

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

相关主题
文本预览
相关文档 最新文档