当前位置:文档之家› 数字电子技术课程设计论文

数字电子技术课程设计论文

数字电子技术课程设计报告

2011年5 月10 日

数字电子钟

一、课程设计目的

(1)培养综合性数字电路的设计能力

(2)掌握数字电子钟的设计、组装和调试方法

二、课程设计内容及要求

(1)设计一个数字电子钟电路,要求按12小时制直接显示“时”、“分”、“秒”,当电路发生走时误差时,要求电路具有校时功能。

(2)用中小规模集成电路组成数字电子钟,并在面包板上组装、调试

(3)画出基本逻辑框图和各单元电路图,并写出设计、实验总结报告

三、数字电子钟的设计、安装与调试

1.工作原理

数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器和校正电路组成。整个系统的时基信号直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计数器,可以实现12翻1。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED 显示器显示出来。校时电路是来对“时、分、秒”显示数字进行校对调整。

2.总体电路设计

图1 数字钟系统组成框图

3.单元电路设计安装与调试

(1)秒脉冲信号发生器——555振荡器、分频器

秒脉冲信号发生器是数字电子钟的核心部分,其精度和稳定度决定了数字钟的质量。由振荡器和分频器组合产生秒脉冲信号。

振荡器:通常用555定时器与RC构成的多谐振荡器,经过调整输出1KHZ脉冲。其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。

分频器:分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供校正电路所组要的信号。由于震荡器输出的频率很高,所以需一定级数的分频电路。本设计方案中的分频器主要功能有两个:一是产生标准“秒”信号,二是提供整点报时电路所需要的1KHz的高音信号和500Hz的低音信号。这里选用三片中规模集成电路计数器74LS90即可满足上述功能,因三片级联则可获得所需频率信号,即第一片的Q0输出频率为500Hz,第二片的Q3输出频率为10Hz,第三片的Q3输出频率为1Hz。其电路图如图2所示。

图2 脉冲信号发生器

(2)计数器

➢60进制计数器

由74LS90和74LS92构成60进制计数器,将74LS90设计成10进制加法计数器,74LS92设置6进制加法计数器。当74LS90计数到6时进行反馈清零,秒计数器的十位除用作自身清零外,还要作为分计数器的输入脉冲。下面电路既可作为秒

计数器,也可作为分计数器。电路图如图3所示。

图3 60进制计数器

➢12进制计数器

时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个秒脉冲是,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。选用74LS191和74LS74。电路图如图4所示。

图4 12进制计数器

(3)译码显示电路

译码电路的功能是将秒、分、时计数器的输出代码进行翻译,变成相应的数字。用与驱动LED七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/

驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。若将秒、分、时计数器的每位输出分别送到相应七段译码管的输入端,便可以进行不同数字的显示。在译码管输出与数码管之间串联电阻R作为限流电阻。电路图如图5所示。

图5 译码显示电路

4.校时电路

校时电路是数字钟不可缺少的部分,每当数字钟与实际时间不符时,需要根据标准时间进行校时。K1、K2分别是时校正、分校正开关。不校正时,K1、K2开关是闭和的。当校正时位时,需要把K1开关打开,然后用手拨动K3开关,来回拨动一次,就能使时位增加1,根据需要去拨动开关的次数,校正完毕后把K1开关闭上。校正分位时和校正时位的方法一样。其电路图如图6所示。

图6 校正电路

5.系统联调

(1)组装调试数字钟电路,调节输入脉冲的频率,观察当秒显示器显示“59”,分显示器是否加1且秒显示器跳回到“00”开始加法计数至59,当分显示器和秒显示器显示“59 59”,时显示器是否加1且分显示器和秒显示器归“00 00”状态,秒显示器开始进行下一轮计数。当开关M拨至校分状态开关H处于计时状态时,观察分显示器是否自动校分,当开关H拨至校时开关M处于计时状态时,观察时显示器是否自动校时。数字钟电路的联调要注意各部分之间的时序配合关系,然后检查各部分的功能,使其满足设计要求。

(2)设计过程中遇到的问题及其解决方法

❖当接通电源时,分显示器和秒显示器只有分显示器在计数,秒计数器显示器的7个二极管都不亮,并且分显示器一直在跳动,而实际生活中是60次秒

脉冲才会有分显示器的加1计数。经调试发现问题,由555定时器和分频

器发出的秒脉冲并没有送入秒计数器,分显示器一直在跳动说明脉冲发生

器的脉冲是准确的,可能是由于分显示器正处于校分状态所以一直在跳动。

将秒脉冲送至秒计数器并将开关M拨至计数状态,即可解决问题。

❖LED显示器的显示数字的发光二极管有些不亮,不能构成完整的0~9数字,检查显示器的10个引脚接线,发现有些引脚接错至74LS48译码器,重新

连接引脚线路后,接通电源,显示无误。

❖在连接时显示器的过程中,时显示器不产生进位,也就是当分、秒显示器均显示“59”时,时显示器没有加1,用二极管测试分计数器的进位信号,当

分显示器由“59”跳至“00”时,二极管是否会产生由亮到灭的瞬时下降

沿状态,发现并无此现象,调试后发现是接错进位信号,重新接线后时显

示器能正常进位。

四、课程设计体会

这学期的数字电子技术课程设计课题,我选择了数字电子钟,在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构,掌握了各芯片的工作原理和其具体的使用方法,培养了动手实验能力,在实践中检验真理,更是收获颇多。

在6进制,10进制,60进制的进位及12进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,这样在电路出错时便能准确地找出错误所在并及时纠正了。

在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,有些引脚悬空,而在实际电路中却不能悬空,必须接低电平,因为在仿真电路中悬空代表低电平,面包板上悬空代表高电平,如果一些芯片的控制信号引脚没有正确连接高低电平,可能造成芯片无法在电路中正常工作。因此仿真图和电路连接图还是有一定区别的。

此次的数字电子钟设计重点在于仿真和接线,虽然在EWB仿真上能把电路图接出来,并能正常显示,但对于电路本身的原理并不是十分熟悉,对上学期的数字电子技术这门课并没有融会贯通,没有建立起全面系统的知识体系,不能很好地把老师教授的知识变为己用,并运用到实际电路中。因此,在学习生活中要多动脑多问几个为什么。通过这次的设计实验更进一步地增强了我的动手能力,这是收获最大之处。

五、元器件使用说明

1.集成异步十进制计数器74LS90

集成异步十进制计数器74LS90它是二-五-十进制计数器,若将Qa与CKB相连从CKA输入计数脉冲其输出Qd、Qc、Qb、Qa便成为8421码十进制计数器;若将Qd与CKA相连,从CKB输入计数脉冲其输出Qd、Qc、Qb、Qa便成为5421码十进制计数器。74LS90具有异步清零和异步置九功能。当R0全是高电平,R9至少有一个为低电平时,实现异步清零。当R0至少有一个低电平,R9全是高电平时,实现异步置九。当R0、R9为低电平时,实现计数功能。

8421BCD码5421BCD码

图7 74LS90工作原理

74LS90功能表如下:

输入输出

R01 R02 R91 R92 QD QC QB QA

H H L ×H H × L L × H H × L H H L L L L L L L L H L L H H L L H

× L × L × L L ×L ×× L L × L ×计数计数计数计数

2.555定时器

图8 555定时器工作原理图

振荡器由555定时器构成。在555定时器的外部接适当的电阻和电容元件构成多谐振荡器,再选择元件参数使其发出标准秒信号。555定时器的功能主要由上、下两个比较器C1、C2的工作状况决定。比较器的参考电压由分压器提供,在电源与地端之间加上VCC电压,且控制端VM悬空,则上比较器C1的反相端“-”加上的参考电压为2/3VCC,下比较器C2的同相端“+”加上的参考电压为1/3VCC。若触发端S的输入电压V2≤1/3VCC,下比较器C2输出为“1”电平,SR触发器的S输入端接受“1”信号,可使触发器输出端Q为“1”,从而使整个555电路输出为“1”;若阈值端R的输入电压V6≥2/3VCC,上比较器C1输出为“1”电平,SR触发器的R输入端接受“1”信号,可使触发器输出端Q为“0”,从而使整个555电路输出为“0”。控制电压端VM外加电压可改变两个比较器的参考电压,不用时,通常将它通过电容(0.01μF左右)接地。放电管T1的输出端Q′为集电极开路输出,其集电极最大电流可达50mA,因此,具有较大的带灌电流负载能力。若复位端RD加低电平或接地,可使电路强制复位,不管555电路原处于什么状态,均可使它的输出Q为“0”电平。只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可方便地构成多谐振荡器。

3.七段LED数码显示器

图9 数码显示器原理图

共阳极数码管的8个二极管的阳极连接在一起。通常,公共阳极接高电平,其他管脚接段驱动电路输出端。当某段驱动电路的输出端为低电平时,该段所连接的字段导通并点亮。反之,对应的LED段码不亮,对于共阴极数码管,则是高电平有效,低电平无效。根据发光字段的不同组合可显示各种数字或字符。此时,要求段驱动电路能够吸收额定的段导通电流,还根据外接电源及额定段导通电流来确定相应的限流电阻。

六、附录

元器件清单

型号功能备注

74LS00 四个2输入与非门2个

74LS20 两个4输入与非门1个

555定时器产生时间延迟和多种脉冲1个

74LS90 二-五-十进制计数器2个

74LS92 12分频器2个

74LS48 译码器6个

74LS74 上升沿双D触发器1个

74LS191 十进制同步加/减计数器1个

LED显示器显示数字6个

数字电子技术课程设计报告

七、参考文献

[1] 余孟尝. 数字电子技术基础简明教程[M]. 北京: 高等教育出版社, 2006: 446.

[2] 吕思忠,施齐云. 数字电路实验与课程设计[M]. 哈尔滨市:哈尔滨工程大学出版社, 2001: 236.

[3] 李银华. 电子线路设计指导[M]. 北京: 北京航空航天大学出版社, 2005: 224.

1

电子技术课程设计论文

目录 1 选题背景 (1) 1.1指导思想 (1) 1.2方案论证 (1) 1.3基本设计任务 (1) 1.4发挥设计任务 (2) 1.5电路特点 (2) 2电路设计 (2) 2.1总体方框图 (2) 2.2,工作原理 (2) 3 电路及部件工作原理 (3) 3.1NE55532 (3) 3.2DAC0832简要说明 (3) 3.374HC193简要说明 (4) 4 原理总图 (5) 5 元器件清单 (5) 6 调试过程及测试数据(或者仿真结果) (6) 6.1通电前检查 (6) 6.2通电检查 (6) 6.2.1按钮开关的检查 (6) 6.2.2 NE5532模块的调试 (6) 6.2.3 NE532单元电路的调试 (7) 6.2.4 DAC0832 (7) 6.3结果分析 (7) 7 小结 (7) 8 设计体会及今后的改进意见 (7) 8.1体会 (7) 8.2本方案特点及存在的问题 (8) 8.3改进意见 (8) 参考文献 (9)

1 选题背景 近年来随着计算机和互联网的迅速普及,多媒体信息的高速传输呈现风速增长的趋势。放大器作为集成电路的一种的重要的组成部分是国内外研究的热点。目前集成放大器的研究主要集中在多级运放的补偿,宽带高速运放,满足专用放大器的特殊结构和提高通用放大器指标的方法等着几个方向。、当时相对国外,国内对可控增益放大的研究主要是基于CMOS工艺的可变增益放大器的设计放大方法。可变增益放大器是一种通过改变电路某一参量对放大器增益进行调节的放大器,广泛应用于无线通讯,医疗设备,助听器等。 1.1 指导思想 本设计要在保证输入/输出电压动态范围的前提下,同时兼顾增益的要求。 1.2 方案论证 方案1 使用160计数器,将它连接成八进制的计数器,按钮按动一下产生一个Cp脉冲记一次数,计数器的输出端与3—8译码器的输入端相连,3—8译码器的输出端连了八个继电器和八个不同的反馈电阻,实现八个不同的增益,输入信号通过射随器A1再通过反向比例运算电路A2最后通过后级放大电路A3进行放大,由计数器循环控制增益。 方案2 其中,A0为输出放大器的开环增益,在电路中设计一个负反馈通路,使式(1)中大括号内的值为0,同时,还把Z1和W接在一起, AD734可看成是增益可变的放大器。X 输入信号的响应输出可由Y输入(需要衰减时用Y而不用U)和U输入(需放大时用U而不用Y)来控制。 方案比较:方案一且参数核算较容易,但使用了八个继电器和反馈电阻,电路图较复杂。方案二电路图简单,但参数核算复杂,综上选择方案一更容易实现。 1.3 基本设计任务 设计并制作一个增益可控的放大电路,能够对峰峰值为10mv的正弦波进行放大,放大电路设有“增益增”UP和“增益减”DOWN,

数字电子技术课程设计报告

一、设计任务及要求 通过对【数字电子技术】课程的学习,让同学掌握【数字电子技术】课程的根本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分表达这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时〞,“分〞,“秒〞。二、设计的作用、目的 〔1〕.在同学掌握【数字电子技术】课程的根本理论以及方法的根底上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 〔2〕.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步稳固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 〔3〕. 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 〔4〕. 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器〔其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成〕、动态显示译码器、LED数码管显示环节、555定时器〔可以提供一个比拟精确的1Hz的时钟脉冲〕,时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数字电子技术课程设计

数字电子技术课程设计 一、数字电子技术课程设计的目的与意义 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。 电子技术课程设计是一个重要的实践环节,它包括选择课题、设计任务分析、方案选择与论证、电子电路设计、调试和编写总结报告等实践内容。 通过本课程设计,能够综合运用所学理论知识,拓宽知识面,系统地进行电子电路的工程实践训练,培养工程师的基本技能,提高分析问题和解决问题的能力。 二、数字电子技术课程设计要实现的目标 1、初步掌握电子线路的分析、设计、调试方法 学生应根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过设计、调试等实践活动,使电路达到性能指标; 2、课程设计为后续的毕业设计打好基础 毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用。从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 三、数字电子技术课程设计的方法和步骤 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。 1、设计任务分析 对系统的设计任务进行具体分析,充分了解系统的性能、指标、内容及要求,以便明确系统应完成的任务。 2、方案选择与论证 这一环节工作要求是把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的系统原理框图。系统框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。

数字化技术论文六篇

数字化技术论文六篇 数字化技术论文范文1 数字技术对影视制作的影响,这一类的讨论文献主要是从理论方面进行争论,数字技术带来的变革对传媒界、从业人员、影视制作观念的影响。《数字技术对电视媒体的能动性讨论》从传播事业进展的历史入手,分析了传播技术学派的理论以及它所引发的争议。通过对数字技术的讨论,尤其是通过数字技术对电视媒体的影响的重点讨论,讨论传媒技术在传媒事业进展过程中的能动作用。认为数字技术对影视制作的巨大推动作用是乐观的,是正面的,这种乐观的能动作用将为我们正确熟悉传媒技术与传媒事业的关系带来新的视角。《数字技术对影视从业者的影响》这篇文章,数字技术在贯穿影视制作全过程的应用中,其不仅仅转变了传统的制作方式,不仅仅体现在数字特效令人震撼的视觉效果上,而是对影视制作从观念到详细创作实现的方方面面都带来了革命性的影响,从编剧、导演、摄像几个影视制作的关键环节进行分析。《浅析数字技术对影视艺术进展的影响》对飞速进展的数字技术为影视艺术进展所带来的深刻变化进行了论述,重点阐明白在电影数字化进程中应当建立新的电影观念。《关于数字技术与影视创作观念的冷思索》,认为数字技术在影视创作中发挥着越来越重要的作用。但是认为应冷静地看待数字技术对影视生产的价值。数字技术的确为影视创作供应了重要的美学表现手段,但从创作手段来看,传统的影视拍摄手段仍旧有无尽的开掘空间,辩证地看待数字技术所带来的影响。

二、数字技术对影视制作中的美学方面的影响 比如,《数字技术从电影创作到接受的美学思索》这篇文章,认为,当前数字技术在电影中的运用仍属于初级阶段,但其本身急剧的进展和日新月异的变化,以及其牵涉、融汇多门类学科的特性,使数字技术的概念和范畴仍纷繁简单,还有待进一步梳理和规范。其着重论述的数字技术是以计算机图形学为基础,在与电影制作结合过程中进展起来的信息数字化处理技术,侧重于其在电影创作和接受方面引起的影像变革和美学意义。《双刃剑、双重视阈与审美价值论学问经济时代数字技术对影视艺术的影响》针对学问经济时代数字技术在影视艺术生产实践中所体现出来的"双刃剑'特性,文章在"双重视域'的学理端详下分析了数字技术对影视剧艺术的乐观影响和消极影响,并主见回到影视剧艺术生产的文化语境,在审美情境中贯注生动的历史详细性,弘扬人文精神的审美价值抱负。 数字化技术论文范文2 现代数字化技术所供应的产品使我们的生活以前所未有的真实图像面目呈现在人们面前。首先是信息载体的数字化,书籍印刷,作为几百年来最主要的信息载体,将渐渐被比特运算的方式所取代,即实现学问的数字化。这种变革使学问可以以更简洁的方式传播,以更大的容量存取,以更低廉的成本让人们猎取学问。信息技术不仅可以实现文字、图像、声音的数字化,还可将人类世界的一切物质以数字化的形式表示。其次,通信方式的数字化使信息能够突破国界、文化准时空的限制而任意传播,互联网的开通使世界变为一个地球村。数字化的进程已经在我们面前绽开,而这势必导致人类的一切文明都必需转化成批量的资讯信息。只有这样才能

数字电子技术课程设计论文--可编程字符发生器

设计说明书 一、设计题目 制作一个可编程字符发生器,用点阵式LED发光矩阵作显示器件。 二、设计要求 1.点阵式LED发光矩阵为8×8规格。 2.用EEPROM存储器存储待显示的字符。 3.字符数大于4,字形自定。 4.实现顺序显示,流水显示或循环显示等中的一种工作方式,设计相应的控制电路。 三、设计过程 1.设计方案: ①.可编程字符发生器的整体结构: 可编程字符发生器的整体结构如下图所示: 时钟信号 地址计数 地址存储空间 EEPROM 产生行选信号 产生列选信号 8×8LED 显示器 其中时钟信号由555定时器构成的多谐振荡器(信号频率158.73Hz)提供;地址计数单元由两片74LS90、一片74LS93和一片74LS161组成(两片74LS90级联成25分频电路,74LS161和74LS93级联成32进制加法计数器);地址存储空间EEPROM由一片DQ2816A-250组成(2816存储待显示的字符),其地址线由地址计数单元提供;列选信号由2816的输出端提供,行选信号由74LS138的输出端提供(74LS138的输入端由74LS93的8进制计数部分提供),行选和列选信号产生电路分别为显示屏的行与列提供选通地址线;发光二极管8×8点阵显示屏用来显示字符发光二极管点阵显示屏用来显示字符,它有8根行选线和8根列选线,其中行选线接发光二极管的正极,列选线接发光二极管的负极,行选线接高电平(逻辑“1”)、列选线接低电平(逻辑“0”)时发光,将LED阵列旋转90度,改为行选线为低电平有效,列选线为高电平有效,方便实现逐行扫描。 由于扫描频率足够高,人眼不能分辨其中变化,发光矩阵上就会显示为完整字符,就能看到设计的流水滚动效果。 ②.可编程字符发生器工作流程:接通电源后,时钟脉冲输入,地址计数器开始计数,EEPROM对应的地址单元中的代码输出,以驱动列选线产生电路。地址计数器同时又为行选信号产生电路提供地址线,随着地址计数器计数值的变化,发光二极管显示屏逐行扫描,显示屏上显示出字符。

数字电子技术课程设计--电子秒表的设计

数字电子技术课程设计--电子秒表的设计

数字电子技术课程设计 课程设计题目:电子秒表的设计

目录 摘要 (2) 1引言 (3) 1.1设计目的 (3) 1.2技术要求 (3) 1.2.1基本要求 (3) 1.2.2提高要求 (3) 1.3设计内容 (3) 1.4工作原理 (3) 2设计框图 (4) 3各个部分功能简介 (5) 3.1按键去抖电路 (5) 3.2控制器电路 (6) 3.3时钟产生电路 (8) 3.4计时电路 (9) 3.5显示译码电路 (10) 3.6 50000分频电路 (11) 4硬件仿真 (13) 4.1顶层逻辑图 (13) 4.2LB0介绍 (14) 4.3硬件仿真 (14) 5课程设计的心得体会 (15) 参考文献 (16) 附录 (17)

摘要 本文以数字电子技术作为理论基础、以quartusⅡ软件为开发平台、以相关电路知识作为辅助,实现电子秒表电路的设计和制作。 该电子秒表可以准确显示时间,范围为00.00—99.99。并且可以手动调节时间,随时启动、清零、暂停记录时间等。操作起来简易、方便。 首先,本文针对电子秒表进行初步框架设计,并在对多种方案进行了认真比较和验证的基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。其次,在总体电路图组装完成以后,用quartusⅡ软件对设计好的电路进行了仿真与调试,并逐一解决设计过程中出现的一系列问题。最后,对照着电子秒表设计方案,对制作好的电子秒表功能进行总体验证。并利用学院的LB0开发板进行硬件仿真。 关键词:电子秒表计数器分频quartusⅡ 、

数电课程设计报告

数电课程设计报告 一、引言 数电课程设计是电子信息类专业中的重要课程之一,通过此课程的学习和设计实践,可以帮助学生更好地掌握数字电路的设计原理和方法。本篇文章将对一次数电课程设计的过程进行详细介绍和总结。 二、设计背景 本次课程设计的背景是设计一个基于FPGA的数字时钟电路。数字时钟是现代生活中常见的电子产品,通过本次设计可以帮助学生理解数字时钟电路的工作原理,并锻炼其数字电路设计能力。 三、设计思路 本次设计的数字时钟电路主要由时钟模块、计数模块和显示模块组成。时钟模块负责产生稳定的时钟信号,计数模块负责对时钟信号进行计数,显示模块负责将计数结果以七段数码管的形式显示出来。 1. 时钟模块设计 时钟模块使用基于晶振的时钟源,通过频率分频电路将晶振信号分频得到所需的时钟信号。为了保证时钟信号的稳定性,我们选择了一个高质量的晶振,并使用适当的电路进行滤波和放大,以提高信号质量和稳定性。 2. 计数模块设计

计数模块使用可编程逻辑器件FPGA来实现。我们根据时钟信号的频率和需要的计数范围选择了适当的FPGA型号,并编写了Verilog HDL代码来实现计数功能。在设计过程中,我们考虑到了计数的起始值和终止值,以及计数的方向(递增或递减),并通过适当的控制信号进行设置。 3. 显示模块设计 显示模块使用七段数码管来显示计数结果。我们根据计数结果的位数选择了适当数量的七段数码管,并使用数码管驱动电路将计数结果转换为对应的显示信号。为了提高显示效果,我们采用了适当的亮度控制电路和刷新频率控制电路。 四、设计实现 根据以上设计思路,我们进行了相应的硬件电路设计和软件代码编写。在硬件设计方面,我们完成了时钟模块、计数模块和显示模块的原理图设计,并进行了电路仿真和验证。在软件代码编写方面,我们使用Verilog HDL语言编写了相应的模块代码,并进行了功能仿真和时序分析。 五、实验结果与分析 经过实验验证,我们的数字时钟电路设计达到了预期的效果。时钟信号稳定,计数范围和方向可通过控制信号进行设置,计数结果准确显示在七段数码管上。实验过程中,我们还发现了一些问题并进

数字电子技术课程设计音乐数字彩灯控制器大学论文

课程设计任务书 课题名称音乐数字彩灯控制器 姓名专业班级学号 指导老师刘建 课程设计时间 一、任务及要求 1. 数码管自动依次显示数字队列0,1,2,3,4,5,6,7,8,9(自然数列);1, 3,5,7,9(奇数列);0,2,4,6,8(偶数列);0,1,2,3,4,5,6,7,0,1(音乐数列)。然后又依次显示同上数列,不断循环; 2. 打开电源开关,自动清零,即通电后最先显示出自然数列的0,再显示出1,然后 按上述规律变化. 3. 每个数字的一次显示时间(从数码管显示之时起到消失之时止)基本相等。 4. 严格按照课程设计说明书要求撰写课程设计说明书 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1、贾立新,王涌.电子系统设计与实践(第2版).清华大学出版社.2011.2 2、谢自美. 电子线路设计、实验、测试(第3版).华中科技大学出版社.2013.8 3、汤山俊夫.数字电路设计与制作.科学出版社.2005.4 目录 一、课程设计的任务要求 (3)

二、设计方案 (3) (一)整体设计 (3) (二)芯片设计 (3) (三)电路设计 (6) 三、单元电路(子模块,子程序)分析 (6) (一)自然数列 (6) (二)奇数列 (6) (三)偶数列 (7) (四)音乐数列 (7) (五)总控制 (7) 四、系统仿真/测试 (8) 五、电路安装调试 (11) (一)安装 (11) (二)调试 (12) (三)故障 (14) (四)产生故障的主要原因及解决方法 (14) 六、元件清单 (14) 七、总结 (15)

数字电路学术论文

数字电路学术论文 数字电路是电子、通信、电气和计算机等专业学生的必修课,下面是店铺整理了数字电路学术论文,有兴趣的亲可以来阅读一下! 数字电路学术论文篇一 数字电路创新教学探究 摘要:随着电子技术的迅速发展,数字电子技术在科研和实际应用中占据着越来越重要的地位。首先阐述了加强实践教学的目的和重要性,然后分析近年来各高校数字电路教学的现状,指出在数字电路教学中加强实验教学的重要性,最后,对数字电路实验教学改革和具体实施方法进行了探讨。 关键词:数字电路;实验 数字电子技术是目前发展最快的科学技术之一,数字电路是电子、通信、电气和计算机等专业学生的必修课,它要求学生系统地掌握数字逻辑电路的分析、设计和应用,具有很强的实践性。数字电路实验在数字电路教学中占有非常重要的地位,因此加强实验教学的意义就非同一般了。 1 加强实验教学的目的 数字电路是理工科很多专业的一门技术基础课,也是学生以后从事科学技术研究和开发工作的一门重要课程,其目的既要培养学生良好的基本实验素养和基本实验技能,也要为学生在理论与应用之间架起一座桥梁,使它成为培养应用复合型人才的一个重要教学环节。 2 数字电路教学现状 (1)数字电路目前在很多学校仍然采用传统的教学方法,教学的重点仍然是传统的基本教学内容。而随着信息时代的到来,教育的重心由以往的注重传授知识向注重培养学生综合素质发生转变。该课程作为一门重要的专业基础课,其教学内容应该适应科学技术的发展以及对人才培养的要求。如今教学改革应该遵循“理论够用,实践为重”的原则,将培养能力的思想作为核心。力求为社会培养基础扎实、具有创新意识和创新能力,理论联系实际、综合素质高的新一代建设人

数字时钟课程设计论文

目录 1.序论 (3) 2.本论 (4) ——设计说明 (4) ——电路图 (5) ——原理图 (5) ——振荡器 (6) ——分频器 (6) ——计数器 (7) ——译码器 (10) ——校时 (11) ——闹钟 (12) ——心得 (12) 3.特别感谢 (14)

序论 一、本课程设计的地位和作用 数字电子技术课程设计是电子技术基础教学中的一个实践环节,它使学生自己通过设计和搭建一个实用电子产品雏形,巩固和加深在数字电子技术课程中的理论基础和实验中的基本技能,训练电子产品制作时的动手能力。通过该课程设计,设计出符合任务要求的电路,掌握通用电子电路的一般设计方法和步骤,训练并提高学生在文献检索、资料利用、方案比较和元器件选择等方面的综合能力,同时为毕业设计和毕业以后从事电子技术方面的科研和开发打下一定的基础。 二、课程设计的目的和要求 1. 能够较全面地巩固和应用“数字电子技术”课程中所学的基本理论和基本方法,并初步掌握小型数字系统设计的基本方法。 2. 能合理、灵活地应用各种标准集成电路(SSI、MSI、LSI等)器件实现规定的数字系统。 3. 培养独立思考、独立准备资料、独立设计规定功能的数字系统的能力。 4.培养独立进行实验,包括电路布局、安装、调试和排除故障的能力。 5.培养书写综合设计实验报告的能力。 三、课程设计的基本要求 根据设计任务,从选择设计方案开始,进行电路设计;选择合适的器件,画出设计电路图;通过安装、调试,直至实现任务要求的全部功能。对电路要求布局合理,走线清晰,工作可靠,经验收合格后,写出完整的课程设计报告

本论 数字钟电路是一块独立构成的时钟集成电路专用芯片。它集成了计数器,比较器,振荡器,译码器和驱动等电路,能直接驱动显示时,分,秒,具有定时,报警等多种功能,被广泛应用于自动化控制,智能化仪表等领域。 一.设计说明 该系统工作原理: 振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准。再经过分频器输出标准秒脉冲。秒计数器计满60后向分计数器进位。分计数计满60后向时计数器进位。小时计数器按照“24翻1”规律计数。计数器的输出经译码器送给显示器。计时器出现误差时可以用校正时电路进行“时校正”,“分校正”,“秒校正”。该系统还有定时闹钟功能,该扩展电路必须在主体电路运行正常的情况下才能进行扩展。 总体设计如图所示:

数字电路课程设计洗衣机控制电路设计

辽宁工业大学 数字电子技术基础课程设计(论 文) 题目:洗衣机控制电路设计 院(系):电子与信息工程学院 专业班级:电子102班 学号: 6 学生姓名:李宏 指导教师:吕娓 教师职称:副教授 起止时间:

课程设计(论文)任务及评语 院(系):电子与信息工程学院教研室:电子信息

摘要 本设计是基于数字电路定时器的洗衣机简易控制电路。通过预置洗衣机的洗涤时间来自动的正反转和暂停,并且用不同的指示灯来表示洗衣机的正逆暂停三状态。当到达一定时间后,会自动报警,发出蜂鸣声。同时,用两位数码管来显示预置时间和洗涤剩余时间,具有良好的用户界面。 电路主要分为显示电路,秒脉冲发生电路,计时电路,报警状态电路和洗衣机正反转控制电路。显示电路使用的是两个单位的共阴极八段数码管,秒脉冲发生电路采用了使用广泛的555定时器芯片,通过控制供电,产生秒信号,作为个芯片的时钟信号。计时电路使用的是十进制的可逆计数器74LS192芯片,分别设计为十进制和六进制电路,用于完成时间的定时,计时功能。报警电路和状态显示电路使用的是简单的74LS04非门和78LS08双输入与门组成的逻辑电路,分别控制蜂鸣器和发光二极管,来实现报警和状态显示。次课程设计经实验与软件仿真,具有良好的可靠性,洗衣机控制电路的一般要求。 关键词:洗衣机控制电路;数字电子控制;定时器

目录 第1章洗衣机控制电路方案设计 (1) 洗衣机控制电路的应用意义 (1) 洗衣机控制电路设计的要求及技术指标 (1) 洗衣机控制电路的方案设计 (1) 总体设计方案的框图及分析 (2) 第2章洗衣机控制电路各单元电路设计 (3) 秒脉冲发生器的设计 (3) 一百进制分计数器和六十秒计数器的设计 (3) 循环控制电路电路设计 (5) 第3章系统设计与分析 (7) 整体电路及工作原理 (7) 部分电路的分析及仿真 (9) 第4章课程设计的总结 12 参考文献 (13) 附录:元器件清单 (14)

数电课程设计论文

《数字电子技术》课程设计报告 系别:电气工程系 专业班级:电子科学与技术(3)班 学生姓名:张书银 学号:200931075 指导教师:刘林阴 2012年02月29日

目录 1.实验目的 (2) 2.实验题目描述和要求 (2) 3.设计报告内容 (2) 3.1实验名称 (2) 3.2实验目的 (2) 3.3实验器材及主要器件 (2) 3.4数字电子钟基本原理 (3) 3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图 (9) 3.7数字电子钟的组装与调试 (9) 4.实验结论 (9) 5.实验心得 (10) 参考文献 (10)

数字电子钟的设计报告 一设计的目的和任务 1.巩固加深对数字电子技术基础的理解,提高综合运用所学知识的能力,培 养了独立分析问题,解决问题的能力。 2.通过查资料,选方案,设计电路,写实验报告等环节的训练,熟悉设计过 程和步骤。为今后从事电子线路设计,研制电子产品打下了良好的基础。 3.设计数字电子电路,实现特定的功能。学习这一技能,积累这方面的经验。 4.培养综合运用所学知识来指导实践的能力。 5.掌握常见元器件的识别和测试。 6.熟悉常用仪器,了解电路调试的基本方法。 二课程设计的题目要求和描述 (1)设计一个有“时”、“分”、“秒”(24小时59分59秒)显示,且有校时 功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结报告; (4)整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号, 在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz音频结束时刻为整 点。 数字电子钟是一种用数字显示秒,分,时,日的计时装置,与传统的机械相比,它具有走时准确,显示直观,无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站,码头,机场等公共场所的大型数显电子钟。 数字电子钟的电路组成框图如图所示

数字电路课程设计--洗衣机电路设计

数电课程设计论文 论文题目:洗衣机控制电路设计 课程名称数字电子技术基础课程设计 学院 专业班级 学号 姓名 指导教师 2013年 05月26 日 一、设计题目 (2) 1、设计地目地: (2) 二、设计任务与要求 (2) 三、设计思路 (2) 四、单元模块地设计 (3) 1、脉冲发生器 (3) 2、60s计数器模块 (4)

1)芯片说明 (4) 2)60进制异步减法计数器 (5) 3、定时模块 (6) 4、显示模块 (8) 1)CD4511 (8) 2)8段数码管 (8) 5、洗衣机正反转模块 (9) 6、其他模块 (10) 1)蜂鸣器 (10) 2)正反转停止 (11) 五、作品及元件清单: (13) 六、总结及心得 (13) 一、设计题目洗衣机控制电路设计 1、设计地目地: 1.)掌握洗衣机控制电路地设计、组装与调试方法. 2.) 熟悉数字和模拟集成电路地设计和使用方法. 二、设计任务与要求 设计制作一个洗衣机控制器,具有如下功能: 1)采用中小规模集成芯片设计洗衣机地控制定时器,控制洗衣机电机作如下运转: 2)洗涤电机用两个继电器控制. 3)用两位数码管显示洗涤地预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直至时间到而停机.洗涤定时时间在0~10min内用户任意设定. 4)当定时时间到达终点时,一方面使电机停转,同时发出音响信号提醒用户注意. 5)洗涤过程在送入预置时间后即开始运转. 三、设计思路

本定时器包括两级定时:一是总洗涤过程地定时;二是在总洗涤过程中包含电机地正转、反转和暂停三种定时,并且这三种定时是反复循环直至总定时时间到为止.总地洗涤时间定时器负责整个电路地时间定时功能,按照设计要求,需要可以自由设定1-10分钟地洗涤时间.第二个定时是电机地正反转定时,要求按照2s 暂停,8s 正转,8s 反转,并且不断地循环下去,直到定时时间到.根据思路:我们地设计模块电路为: 四、单元模块地设计 1、脉冲发生器 用555定时器组成地多谐振荡器地原理图如图所示.R1、R2、C 是外接电阻元件.当 uc 因电源接通对C 充电而上升到CC U 3 2时,比较器A1输出为低电平,使R-S 触发器输出置0,T 导通,电容C 通过T 放电;当uc 因电容放电而减小到略低于CC U 3 1时,比较器A2输 出为低电平,使R-S 触发器输出置1,T 截止,电容C 继续充电直到uc 略高于CC U 3 2时, 触发器又翻转到0,从而完成一个周期振荡.其振荡周期可用下式计算:计数器 一级定时器 二级定时器 暂停 反转 正转 显示 定时

数电课程设计-16音乐盒

数字电子技术基础课程设计论文 摘要 本次课程设计的内容包括清点元件、将各元件安装以及焊锡到电路板上。属于在掌握了一定的数字电子技术基础理论知识后的实践动手部分。在此次课程设计中初步掌握了焊锡技术的要领和技巧,加强了对集成电路模块在电路中的实际工作情况的理解。加深了对数字电路整体工作原理的认识。熟悉音乐盒的工作过程和调试方法;理解和掌握BOX-16十六音乐盒的基本工作原理;掌握音乐盒的调试方法。 关键词:音乐盒、电路元件、焊锡、集成电路、数字电子技术实践操作

目录 1、清点元件 (3) 2、设计内容 (4) 2.1、设计目的 (4) 2.2、PCB板电路图 (4) 2.3、设计过程 (5) 2.3.1、主要元件的功能描述: (5) 2.3.2、设计过程描述: (5) 2.3.3、焊接原理 (5) 2.4、工作原理 (9) 2.5、播放原理 (9) 3、总结 (10)

1.清点元件: 电阻、二极管、三极管、蜂鸣器、开关、集成电路、IC插座、排插、PCB板、说明书 元件清单

2.设计内容: 2.1设计目的: 熟悉和掌握常用电工工具的正确使用及一般的焊接工艺及操作技能;熟悉音乐盒的工作过程和调试方法;理解和掌握BOX-16十六音乐盒的基本工作原理;掌握音乐盒的调试方法。加强对集成电路模块在电路中的实际工作情况的理解。加深了对数字电路整体工作原理的认识。 2.2 PCB板电路图:

2.3设计过程: 2.3.1主要元件的功能描述: 电阻是电路的重要组成部分。半导体类如:二极管其特性为单向导电性(反向截止);三极管其存在基极、集电极、发射极。 2.3.2设计过程描述: 首先,清点好元件后,将各元件分别安装到线路板上,确认无误后进行焊锡工作。其次,进行焊锡工作。进行焊接实验之前先插上电烙铁的插头,给电烙铁进行预热。焊接时,焊锡与电路板、电烙铁与电路板的夹角最好成45度,这样焊锡与电烙铁夹角成90度,在这种角度下比较易于焊接,并且不易出现错误,造成焊接的失败。焊接时,焊锡与电烙铁接触时间要短一些,以免焊锡过多或是造成漏锡;也不要过短,以免造成虚焊。元件的腿尽量要直,而且不可伸出太长,以1毫米为好,多余的可以剪掉。焊完时,焊锡最好呈圆滑的圆锥状,而且还要有金属光泽。 其中要注意的是4011和4066集成电路模块不要直接焊接在PCB板上,要先焊接模块插座然后将其插入插座,这样可以在后面的故障排查中方便的进行替换集成电路模块进行故障排查。 最后,将9561PCB板插入到FR-4 PCB板上,并把它们焊接在一块,其中要注意的是9561PCB板要用两根飞线与主PCB板进行连接,至此制作完毕。 2.3.3焊接原理 焊接是安装电路的基础,我们必须重视他的技巧和注意事项。 ⑴焊锡之前应该先插上电烙铁的插头,给电烙铁加热。 ⑵焊接时,焊锡与电路板、电烙铁与电路板的夹角最好成45度⑶焊接时,焊锡与电烙铁接触时间不要太长,以免焊锡过多或是造成漏锡;也不要过短,以免造成虚焊。 ⑷元件的腿尽量要直,而且不要伸出太长,以1毫米为好,多余的可以剪掉。 ⑸焊完时,焊锡最好呈圆滑的圆锥状,而且还要有金属光泽。 锡焊技术采用以锡为主的锡合金材料作焊料,在一定温度下焊锡熔化,金属焊件与锡原子之间相互吸引、扩散、结合,形成浸润的结合层。外表看来印刷板铜铂及元器件引线都是很光滑的,实际上它们的表面都有很多微小的凹凸间隙,熔流态的锡焊料借助于毛细管吸力沿焊件表面扩散,形成焊料与焊件的浸润,把元器件与印刷板牢固地粘合在一起,而且具有良好的导电性能。 锡焊接的条件是:焊件表面应是清洁的,油垢、锈斑都会影响焊接;能被锡焊料润湿的金属才具有可焊性,对黄铜等表面易于生成氧化膜的材料,可以借助于助焊剂,先对焊件表面进行镀锡浸润后,再行焊接;要有适当的加热温度,使焊锡料具有一定的流动性,才可以达到焊牢的目的,但温度也不可过高,过高时容易形成氧化膜而影响焊接质量。

数电课程设计论文四位二进制加计数器(缺0100,0101,0110,1000,1001)

成绩评定表

课程设计任务书

摘要 本文描述了四位二进制同步加法计数器的功能,并且缺省了状态0100,0101,0110,1000,1001。计数器初始状态从0000开始,每来一个CP脉冲计数器就加1,当增加到0011时,直接跳到状态0111;再来一个CP脉冲,计数器直接跳到状态1010;当计数器加到1111时,给高位进位的同时计数器归零。本课程设计分别通过QuartusⅡ和multisim软件设计实现此计时器。在QuartusⅡ软件中先用VHDL语言描述此计数器,编译完成后,进行波形仿真,最后下载到试验箱中。在multisim软件中首先设计实现此计数器功能的电路图,然后运行仿真电路图,通过LED灯亮灭的顺序和逻辑分析仪的波形变化情况验证电路图的正确性。 关键词:四位二进制加计数器;QuartusⅡ软件;multisim软件;

目录 1课程设计目的 (1) 2课程设计实现框图 (1) 3实现过程 (1) 3.1QuartusⅡ实现过程(VHDL) (1) 3.1.1建立工程 (2) 3.1.2VHDL源程序 (5) 3.1.3编译和仿真过程 (6) 3.1.4引脚锁定与下载 (9) 3.1.5仿真结果分析 (10) 3.2Multisim实现过程(电路设计) (11) 3.2.1设计原理 (11) 3.2.2基于Multisim的设计电路图 (15) 3.2.3逻辑分析仪显示的波形 (16) 3.2.4仿真结果分析 (16) 4设计体会 (17) 5参考文献 (18)

1课程设计目的 1、了解数字系统设计方法。 2、熟悉VHDL语言及其仿真环境、下载方法。 3、熟悉Multisim环境。 4.设计实现四位二进制加计数器(缺0100,0101,0110,1000,1001)。 2课程设计实现框图 图1所示是四位二进制同步加法计数器的结构示意框图。CP是输入计数脉冲,所谓计数,就是计CP脉冲个数,每来一个CP脉冲,计数器就加一个1,随着输入计数脉冲个数的增加,计数器中的数值也增大,当计数器计满时再来CP脉冲,计数器归零的同时给高位进位,即要送给高位进位信号,图中的输出信号C就是要送给高位的进位信号。 图1 四位二进制加计数器示意框图 由题目可知,无效状态为0100、0101、0110、1000、1001,根据二进制递增计数的规律,可画出状态图如图2所示。 3实现过程 3.1QuartusⅡ实现过程(VHDL)

《数字电子技术》课程设计基于fpga的数字电子时钟设计与实现

《数字电子技术》课程设计 设计题目:基于FPGA的数字电子时钟设计与实现 系部:电子与信息工程系 专业班级:电子信息工程08秋(1)班 小组成员: 学号: 指导教师: 完成日期: 2012年 1 月

目录 一、所用设备与器材 (1) 1.1仪器设备 (1) 二.系统方案 (1) 2.1 设计思想 (1) (1) (3) 2.2工作原理及系统框图 (8) 三.软件方案 (9) 3.1 程序流程图 (9) (9) (10) (11) (11) (12) (12) (13) 3.2 程序清单 (14) (14) (15) (15) (16) (17) (17) (17) 校时模块程序 (18) 四.调试及结果 (18)

4.1 模块仿真 (18) (18) (19) (19) (19) (19) 4.2 程序下载 (20) 4.3分析运行结果 (21) (21) (21) (22)

一、所用设备与器材 1.1仪器设备 使用仪器设备有FPGA DE2-70开发板、PC机、信号发生器。 图1 FPGA DE2-70开发板图 二.系统方案 2.1 设计思想 利用数字电子技术、EDA设计方法、FPGA等技术,设计、仿真并实现一个基于FPGA的数字电子时钟基本功能,其基本组成框图如图1所示,振荡器采用ALTERA的DE2-70实验板的50MHz输出,分频器将50MHz的方波进行分频进而得到1Hz的标准秒脉冲,时、分、秒计时模块分别由二十四进制时计数器、六十进制分计数器和六十进制秒计数器完成,校时模块完成时和分的校正。扩展功能设计为倒计时功能,从59分55秒至59分59秒,每秒亮一盏灯报时。 课题背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能更进一步,产品更新换代的节奏也越来越快。 20世纪80年代末,出现了FPGA(Field Progrommable Gate Array),CAE 和CAD技术的应用更为广泛,它们在PCB设计的原理图输入,自动布局布线及PCB分析,以及逻辑设计,逻辑仿真布尔综合和化简等方面担任了重要的角色,

数电课程设计报告ASCII码键盘编码电路设计

数字电路课程设计报告 论文题目ASCII码键盘编码电路设计 学生专业班级通信工程2011级1班 学生(学号)成(20112760) 设计小组其他同学(学号)王骏(20112836) 指导教师宋彩霞 完成时间2013年10月26日 实习地点信息楼214 2013 年10 月27 日

ASCII码键盘编码电路设计 一、课程的选择与设计要求: ASCII码键盘编码电路的任务是把键盘上所按下之按钮产生的开关信号,编成一个对应的ASCII代码从输出端输出。ASCII码编码表请参看有关教材。 键盘共8*8=64个键,在外加两个控制键Shift 键和Ctrl键作用下,共完成128个键的ASCII码输出。其中,Shift 键为英文大小写字母及其它符号控制键。Ctrl键为文字符号(也称控制符号)和字符控制符。键盘字母排列参见下图。当按下某键,变将键所在的列线与行线接通,于是将列线上的“1”电平传给行线, ASCII码键盘编码电路的任务是把键盘上所按下按钮产生的开关信号,编成一个对应的ASCII代码从输出端输出。键盘共8*8=64个键,在外加两个控制键Shift 键和Ctrl键作用下,共完成128个键的ASCII码输出。其中,Shift 键为英文大小写字母及其它符号控制键。Ctrl键为文字符号(也称控制符号)和字符控制符。当按下某键,变将键所在的列线与行线接通,于是将列线上的“1”电平传给行线,而没有按下之按键所在的列线与行线不同,这些行线仍保持低电平。这个任务可以分解为如下几个部分: 1、开关:通过控制键盘产生开关信号,也就是用高八个开关低八个开关和

外加两个开关来控制信号的高低。

数字电路课程设计(5篇)

数字电路课程设计(5篇) 第一篇:数字电路课程设计 数字电路课程设计要求: 1.结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。 2.每人独立完成一篇课程论文,论文至少2000字,可手写,也可打印(打印稿的格式另附)。 3.要求写出设计背景,理论基础,设计思路,设计过程,调试过程,仿真过程(可选),最终电路等。 4.总结所设计电路的优点,缺点,改进方向。 5.严禁抄袭,所有雷同论文均以0分计。 6.选多功能数字钟的同学在数字电路实验室完成实验。选其它题目的同学所需软硬件资源请自行解决。 第二篇:数字电路课程设计 一、设计报告书的要求: 1.封面 2.课程设计任务书(题目,设计要求,技术指标等) 3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。 3.目录 4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。 ⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。⑷ 用protel画原理电路图。 (5)用Multisim或者Proteus画仿真图。 5.总图。 6.课题小结(设计的心得和调试的结果)。 7.参考文献。 二、评分依据: ①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题

是否基本正确。 三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择) 1、基于DC4011水箱水位自动控制器的设计与实现 水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播

相关主题
文本预览
相关文档 最新文档