(Proteus数电仿真)序列信号发生器电路设计说明

  • 格式:doc
  • 大小:299.00 KB
  • 文档页数:5

下载文档原格式

  / 5
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验8 序列信号发生器电路设计

一、实验目的:

1.熟悉序列信号发生器的工作原理。

2.学会序列信号发生器的设计方法。

3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。

二、实验仪器设备:

仿真计算机及软件Proteus 。

74LS161、74LS194、74LS151

三、实验原理:

1、反馈移位型序列信号发生器

反馈移位型序列信号发生器的结构框图如右图

所示,它由移位寄存器和组合反馈网络组成,

从寄存器的某一输出端可以得到周期性的序列

码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP

将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。

若M 个状态中出

现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分

为M 个独立状态为止。

(2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的

表达式。

(3)检查自启动性能。 (4)画逻辑图。

2、计数型序列信号发生器

计数型序列信号发生器和组合的结构框图

如图 所示。它由计数器和组合输出网络两部分

组成,序列码从组合输出网络输出。设计

过程分为以下两步: (1)根据序列码的长度M 设计模M 计数器,状态可以自己定。

(2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状

态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,

而且还能产生多组序列码。

四、计算机仿真实验容及步骤、结果:

1、设计一个产生100111序列的反馈移位型序列信号发生器。

1、根据电路图在protuse 中搭建电路图

⑴选中protuse最左侧的compenent mode工具栏

⑵选择电路所需的元器件摆放到原理图的画布上,virtual instrument mode中选择示波器摆放到画布上观察电路输出波形,然后连接线路搭建电路,如图1:仿真电路图如图1所示

图1

⑶打开仿真开关,观察示波器的波形,如图2:

实验结果如图2所示

图2

图中第一个波形为所需要产生的序列,第二个为时钟信号

图中黄色波形为输出波形,蓝色波形为输入时钟的波形,可以观察到输出的脉冲波形为100111。

2、设计一个产生1101000101序列码的计数型序列信号发生器。

⑴查找元器件,如实验1的第(1)步。

⑵选择电路所需的元器件摆放到原理图的画布上,virtual instrument mode中选择示波器观察电路输出波形,然后连接线路,搭建电路,如图3

仿真电路如图3所示

图3

实验结果如图4所示

看出实验结果为脉冲输出结果为1101000101。

五、实验报告要求:

1. 整理实验仿真电路及结果,将其截图贴在报告对应的位置。

六、实验总结与体会:

通过实验了解了如何使用protuse进行仿真数字电路,能够熟练的运用仿真软件进行数字电路的搭建,并且通过实验了解了74ls194,74ls161的性能指标以及应用电路和组合逻辑电路的设计和分析的方法,能在今后的学习中利用仿真进行更好的学习。