硬件描述语言实验

  • 格式:doc
  • 大小:3.99 MB
  • 文档页数:35

下载文档原格式

  / 35
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《硬件描述语言》实验指导书

南通大学电子信息学院

2008 年2 月

1、目的和任务

《硬件描述语言》是一门侧重于数字电子系统的设计和测试方法的课程,它的上机实验是教学中的一个重要环节。通过上机学习,学生不仅可以系统地复习、巩固该课程的基本理论,而且培养学生解决问题能力和创新能力,同时为该课程的课程设计作准备。

2、教学基本要求

(1)、熟练使用EDA 软件(Quartus II、ModelSim);

(2)、掌握用Verilog HDL 实现组合逻辑电路和时序逻辑电路的方法。3、实验条件

(1)、PC 机、Quartus Ⅱ软件和ModelSim软件

(2)、GW48型EDA 实验开发系统(EP1K30TC144-3)

4、实验内容

序号实验内容

1 实验一设计工具的使用

2 实验二组合逻辑电路设计(一)

3 实验三组合逻辑电路设计(二)

4 实验四总线与总线操作

5 实验五时序逻辑电路设计(一)

6 实验六时序逻辑电路设计(二)

5、实验成绩

实验成绩的评定由实验的验收等级和实验报告等级两个部分组成,各占50%。实验的验收等级和实验报告等级均采用5 个等级,即优、良、中、及格和不及格。实验成绩占本课程平时成绩的50%。

实验一设计工具的使用

1、实验目的与要求

掌握在Quartus Ⅱ开发环境下,运用硬件描述语言输入法对“三人表决器”进行设计输入、编译、调试和仿真的方法。

“三人表决器”电路的输入为SW1、SW2 和SW3,输出为L3 和L4,位宽均为1 位。当SW1、SW2 和SW3中有超过2个以上的输入为1时,要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。

2、实验内容

(1)在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入;

(2)完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。

3、教学形式

(1)本实验为验证型实验,学生在实验前预习实验指导书;

(2)指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明;

(3)实验结束之后,学生按照实验报告的书写格式自行完成实验报告。

4、应达到的实验能力标准

(1)能熟练地在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入;

(2)能熟练地进行编译和调试,排除编译后的错误;

(3)正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真;

(4)掌握基于ACEX1K系列EP1K30TC144-3器件的时序仿真方法。

5、思考题

(1)用Quartus II 软件对设计电路进行的仿真包括哪两种类型,它们之间

有什么区别?

(2)硬件描述语言输入法进行设计时的基本操作流程包括哪些环节?

实验二组合逻辑电路的设计(1)

1、实验目的与要求

掌握在Quartus Ⅱ开发环境下,运用Verilog 硬件描述语言输入法对8 选1多路选择器进行编译、调试和仿真的方法。要求根据使能端en[1:0]的不同组合,从8 个输入a、b、c、d、e、f、g、h 中选择 1 个输出,输出out 的位宽为1 位。并分别采用case 语句和if-else语句设计8 选 1 多路选择器。

2、实验内容

(1)在Quartus Ⅱ开发环境下,建立工程,并将8 选 1 多路选择器的硬件描述语言程序输入;

(2)完成编译、调试和仿真,分析实验仿真结果,判断其正确性。

3、教学形式

(1)本实验为设计型实验,学生在实验前预习实验指导书;

(2)指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实

验中的难点和注意事项进行一定的说明;

(3)实验结束之后,学生按照实验报告的书写格式自行完成实验报告。

4、应达到的实验能力标准

(1)能熟练地在Quartus Ⅱ开发环境下,建立工程,并将8 选1多路选择

器的硬件描述语言程序输入;

(2)能熟练地进行编译和调试,排除编译后的错误;

(3)正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真;

(4)掌握基于ACEX1K系列EP1K30TC144-3器件的时序仿真方法。

5、思考题

(1)采用case 语句和if-else 语句分别设计的八选一多路选择器之间有什么区别?

(2)如果要求不采用always语句,而采用assign 语句设计该组合逻辑电路,该如何设计?

实验三组合逻辑电路的设计(2)

1、实验目的与要求

掌握在Quartus Ⅱ开发环境下,运用硬件描述语言输入法对8 线-3 线优先编码器74148 电路进行编译、调试和仿真的方法。要求分别用case 语句和if-else语句设计8 线-3 线优先编码器74148。电路的输入为ei、i0、i1、i2、i3、i4、i5、i6、i7,输出为a2、a1、a0、gs、eo。优先编码器74148 的功能表如下:

2、实验内容

(1)在Quartus Ⅱ开发环境下,建立工程,并将8 线-3 线优先编码器74148电路的硬件描述语言程序输入;

(2)完成编译、调试和仿真,分析实验仿真结果,判断其正确性。

3、教学形式

(1)本实验为设计型实验,学生在实验前预习实验指导书;

(2)指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明;

(3)实验结束之后,学生按照实验报告的书写格式自行完成实验报告。

4、应达到的实验能力标准

(1)能熟练地在Quartus Ⅱ开发环境下,建立工程,并将8 线-3 线优先编码器74148 电路的Verilog HDL 程序输入;

(2)能熟练地进行编译和调试,排除编译后的错误;

(3)正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真和时序仿真。

5、思考题

(1)如果要求不采用always 语句,而采用assign 语句设计该组合逻辑电路,该如何设计?

(2)如果要求设计译码器74138,该如何设计?