VHDL课程设计报告

  • 格式:doc
  • 大小:300.00 KB
  • 文档页数:12

下载文档原格式

  / 12
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

湖南科技大学

信息与电气工程学院

《课程设计报告》

题目:硬件描述语言课程设计

专业:电子信息工程

班级:三班

姓名:血色的天泪

学号: *******xx

指导教师:***

2015 年 1月 12 日

信息与电气工程学院

课程设计任务书

2014—2015 学年第 1 学期专业:学号:姓名:

课程设计名称:

设计题目:

完成期限:自年月日至年月日共周设计依据、要求及主要内容(可另加附页):

指导教师(签字):

批准日期:年月日

摘要

在目前,可编程逻辑器件、单片机、DSP已经成为数字系统的硬件基础,而从事数字系统的设计必须掌握可编程逻辑器件的设计方法,而VHDL语言是一种标准的数字系统硬件电路设计语言,为所有可编程逻辑器件厂商所支持,已成为电路设计人员和电子设计工程师必须掌握的工具。VHDL语言是培养信息类专门人才的一门必修的专业基础课程。通过本次课程设计,使我们能够学习和掌握现代电子系统设计的新技术、新器件,掌握硬件描述语言VHDL的编程技术和硬件描述方法,能够对设计系统进行规范描述掌握相关软件的使用,操作。能对VHDL 语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。

本次课程设计设计主要使用了VHDL语言,采用的开发软件是MAXPLUS II,设计一个多功能数字钟。在MAXPLUS II开发平台下进行了编译、仿真、下载,实现了基本记时显示和设置、调整时间、报时和闹钟功能。(注意,仅供参考,可以根据自己的具体设计来写,多写一点)

关键词:EDA;VHDL ;Max+plus II;

目录

1.设计目的 (1)

2.设计内容 (1)

3.电路工作原理 (1)

3.1循环彩灯控制器 (1)

3.2五人多数表决器 (1)

4.主要程序和仿真结果 (1)

4.1循环彩灯控制器 (1)

4.2五人多数表决器 (1)

5.心得体会 (1)

参考文献 (1)

1.设计目的

1. 熟练掌握相关软件的使用,操作。能对VHDL语言程序进行编

译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。

2. 在成功掌握软件操作基础上,将所学数字电路的基础课知识与

VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。

3. 深入了解VHDL语言的作用与价值,对用硬件语言设计一个电

路系统开始具备一个较完整的思路与较专业的经验。对EDA技术有初步的认识,并开始对EDA技术的开发创新有初步的理解。

2. 设计内容

选题一五人多数表决器

五人多数表决逻辑:多数通过;在主持人控制下,10秒内表决有效;用数码管显示表决10秒倒计时;表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;设主持人控制键,复位键:控制键:启动表决

选题二循环彩灯控制器的设计

1、设计一种楼梯照明控制器,该控制器控制红、绿、黄三个发光管循环发光,要求红灯亮2秒,绿灯亮3秒,黄灯亮1秒。

2、引脚锁定及下载测试提示:如果目标器件是EPF10K10,自行锁定引脚。

3、设计的VHDL程序所用时钟频率为1HZ。

3.电路工作原理

3.1循环彩灯控制器的设计

八位流动彩灯由八个彩色灯泡组成,接通电源后彩灯自动形成流动显示状态可用于节日与喜庆日。CLK是1HZ的时钟脉冲,程序定义CNT1进行计数,作为花样彩灯译码模块的输入值,译码后的值就是彩灯的显示代码。

3.2五人多数表决器

五人多数表决,在主持人控制下,10秒内表决有效;用数码管显示表决10秒倒计时;表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;设主持人控制键,复位键:控制键:启动表决只要在规定时间内,赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。

4.主要程序和仿真结果

4.1循环彩灯控制器的设计

4.2五人多数表决器

5.心得体会

虽然这次数字系统仿真与VHDL语言课程设计只有短短的一周,但经过在图书馆查找资料,及老师的辅导帮助,加上自己学习钻研,感觉学到了很多,不仅初步掌握了VHDL语言的操作,更加深了课堂上所学的VHDL语言知识。通过这次课程设计,我对VHDL的语言有了更深刻的认识,对课题设计的基本流程有了一定的认识,同时也是一次把所学的书本知识和实践相联系的过程。并通过实践,建立起了学习VHDL的浓厚兴趣。

本次课程设计过程中,遇到的一个难点就是程序的调试,本来自己编写的程序是没有错的,但是一旦输入调试软件中就会报告各种错误,有些错误很难找出来,有时候自己明明设计的一个程序是正确的,但是就是报错,有时候大家一起合作可以找出错误,但是有时候就只有靠老师找错误啦。从调试中我学到了我们平时自己写程序的时候一定要规范,字体、格式等等都要严格的按照要求来做,否者在调试的时候会遇到各种你想都想不到的错误。

通过这次课程设计,我也意识到了我对这门课的掌握还不够深刻,还有许多的知识我不了解,对知识不能有个整体的应用;有的是一知半解;有的即使原理懂了,但在应用方面却是丝毫不知。所以在今后的学习中,我会更加努力,不仅要学好理论知识,还要把它应用到实践中去,只有在实践中做好了掌握了才能真正的把自己所学的知识为以后所用。

编程是一件高精度、模范化的事情,稍有疏乎都会影响全局,也可能因为某一处的小的错误而导致整个程序的无法运行。所以认真仔细就是非常重要的了。开始的时候真的感觉编程是一件很无聊的事情,不过当一个程序运行成功的时候那种喜悦是无法言语的,那种成就感是无法比拟的。

经过一个星期的课程设计,我成功地设计出了数字式四路竞赛抢答器。回首这一周,虽然因为初次涉及EDA技术及MAX_PLUSⅡ软件,对相关知识知之甚少,也遇到了很多困难,但是在这次实习的过程中都受益匪浅。

在这次课程设计的前期,先系统地学习了EDA工程概论,VHDL描述语言和设计应用方面的基础知识,使得后期能够较熟练地使用MAX_PLUSⅡ软件进行设计开发,用原理图输入及VHDL语言等设计输入并编译仿真,同时对以往学过的理论知识有了更加透彻的理解。