超声波测身高
- 格式:doc
- 大小:92.00 KB
- 文档页数:9
超声波测身高是一种常见的非接触式测量身高的方法,原理基于声学传播和回波时间的测量。
以下是超声波测身高的基本原理:
1.发射超声波:测量设备通过超声波发射器产生高频率的声波信号,通常在20kHz到
200kHz之间。
这些声波属于无听觉范围的超声波。
2.声波传播:发射的超声波通过空气中传播,并在与人体接触的位置遇到阻抗不匹配的介
质界面,即人体皮肤。
部分声波被反射回来。
3.回波接收:测量设备上的接收器检测到反射回来的超声波信号,并记录下它们的回波时
间(从发射开始到接收到回波的时间)。
4.计算身高:根据声波在空气中的传播速度和回波时间,可以计算出声波在人体内部和外
部的传播距离。
由于声波在人体内部的传播距离与身高相关,通过测量声波的传播距离,可以推导出人体的身高。
需要注意的是,超声波测身高的精确性受到多种因素的影响,包括声波传播速度的准确性、测量设备的精度、人体姿势的稳定性等。
此外,对于特殊情况(如肥胖人群、身体畸形等),超声波测量可能存在一定的局限性。
因此,在实际应用中,建议综合考虑其他测量方法,并结合专业人员的判断来获得更准确的身高数据。
超声波身高体重测量仪技术参数数量:1台预算:2万元1.技术参数1.1电源:电压AC220V,频率50Hz1.2使用环境:温度范围:+10℃~+40℃;湿度范围20%RH~85%RH(无冷凝)1.3保存环境:-5℃~55℃;不大于85%RH,通风良好,干燥的室内,周围空气中应无腐蚀性气体1.4身高测量范围: 70cm~200cm,分辨率0.1 cm,最大误差±0.5cm1.5体重测量范围: 2kg~200kg,最大误差±0.1kg★1.6 通过计量认证:可提供计量院出具的计量认证证明资料。
2、功能特性2.1 测量方式:手动﹑自动﹑遥控三种方式可随意选择,满足不同用户的使用场景需求。
2.2温度补偿功能:双温度传感器,具有温度补偿功能,可提高身高测量结果的准确性。
2.3进口称重传感器:采用进口称重传感器2.4进口超声波探头:采用进口超声波探头。
2.5检测参数:可检测身高、体重,可计算BMI、体表面积、男性超重百分比、女性超重百分比。
2.6语音播报功能:可播报操作指导、注意事项、测量结果、异常提示,并可对播报内容进行选择设置。
2.7打印结果:可进行测量结果数值打印、条码打印、体重指数BMI参照表打印(中国卫生行业标准(WS/T428-2013)参照表、WHD标准-2004参照表)多种结果显示打印。
★2.8离线保存测量结果:本机可保存 2000例测量结果。
★2.9网络直连功能:可通过网线、WIFI以及移动网络模块(选配)等方式直接将设备的检测数据传输至医院各个网络系统,不在需要单独传输至PC端,提升传输效率、节省医院成本。
3、其他要求3.1、提供耗材及零配件供货价格供业主参考3.2、质保期≥2年3.3、所投设备具有医疗器械注册证,供货商具有医疗设备经营许可证或医疗设备生产许可证3.4、供货期:合同签订后15日内。
智能超声波身高体重测量仪使用说明
北京时代数维科技有限公司
https://
一、安装示意
1
2
将支杆插入称盘孔1
锁两颗M3.5*40螺丝2
稍微拉出一部分伸缩管
3
将两端端子插接,然后穿进伸缩管内4
3
4
锁两颗M2.6*8螺丝5
解锁按键,为保证测量准确,请将
伸缩管甚至最高高度(会有滴答声提醒),需收回时,按下即可收回伸缩管6
5
6
二、测量步骤
将伸缩管拉伸至最高
高度后,需缩回时按
下解锁按键即可
1
将智能超声波身高体重测量仪放在坚硬平坦的地板上,为保证测量准确,请拉伸伸缩管至最高高度(会有滴答声提醒)
将秤面用干软布擦拭干净,然后光脚上秤,智能超声波身高体重测量仪
会自动开机,如果首次使用或者移动产品后使用,先踩亮秤再按清零校准键进行一次校准,确保重量准确
2保持身体平衡,站稳站直后会测量身高和体重3测量完毕,下秤后会自动关机
4
三、显示说明低电提示:如果仪器处于低电状态,测量仪会显示以下提示:1归零提示:归零校准过程中显示
归零校准完成后,不显示
2语音提示:静音时显示
打开语音时,不显示
3四、基本参数 3.5寸 LCD显示屏内置可充电锂电池,低耗耐用80-200cm 7-180kg
显示屏
HW10产品型号
智能超声波身高体重测量仪产品名称
贝塔树产品品牌
电池类型
身高测量范围
体重测量范围产品功能
可精准测量身高、体重自动语音播报。
创新制作课程报告实训时间: 2015.9-2015.12实训地点:浙江商职院专业:应用电子班级:应电1331姓名:朱康敏学号: 1509133129指导教师:华良2015年12月1日一、创新制作实训目的及要求目的:本次设计电路采用超声波在空气中运行原理设计的身高测量仪,该测量仪是由AT89C51作为中央控制系统,超声波发射电路由发射驱动电路和设于该驱动电路输出端的超声波换能器构成,超声波接收电路由超声波接收换能器、限位电路和超声波接收集成块电路构成,能测量的最大距离为2m,测试分辨力为0.1cm,距离显示用数码管,最大显示距离为200.0cm。
要求传感器要有较好的方向性,并对脉冲响应、发送和接收的频带范围要宽。
因此,本课题的研究是非常有实用和商业价值。
将课本上所学习到的理论知识在实践中融会贯通、互相结合。
提高动手能力,将传感器真正运用到实践中并直观的表达出来。
同时也了解传感器的功能以及特点。
要求:1.了解传感器的工作原理与工作特性;2.掌握传感器应用于身高测试仪的原理;3.能根据原理特性分析结果,加深对传感器的认识与应用;4.对身高测试仪硬件部分进行焊接;5.对身高测试仪出现的问题进行解决。
二、创新制作项目的概述1.创新制作的系统框图;单片机发出信号,经放大后通过超声波发射器输出;超声波接收器将接收到的超声波信号经放大器放大,用锁相环电路进行检波处理后,启动单片机中断程序,测得时间为t,再由软件进行判别、计算,得出距离数并送数码管显示。
超声波测身高的原理为超声波发生器T在某一时刻发出一个超声波信号,当这个超声波遇到被测物体后反射回来,就被超声波接收器R所接收到。
这样只要计算出从发出超声波信号到接收到返回信号所用的时间,就可算出超声波发生器与反射物体的距离。
距离的计算公式为:d=s/2=(c×t)/2其中,d为被测物与测身高仪的距离,s为声波的来回的路程,c为反射速,t为声波来回所用的时间。
摘要随着科学技术的快速发展,超声波将在传感器中的应用越来越广泛。
由于超声波指向性强,能量消耗缓慢,在介质中传播的距离较远,因而超声波经常用于距离的测量,如测距仪和物位测量仪等都可以通过超声波来实现。
利用超声波检测往往比较迅速、方便、计算简单、易于做到实时控制,并且在测量精度方面能达到工业实用的要求,因此在移动机器人的研制上也得到了广泛的应用。
过去,许多技术因为无法探测到物体组织内部而受到阻碍,影响了测量结果的准确性和可靠性,超声波传感技术的出现改变了这种状况。
在未来的应用中,超声波将与信息技术、新材料技术结合起来,将出现更多的智能化、高灵敏度的超声波传感器。
本设计有效地解决了传统身高测量中手工操作和机械操作速度慢、噪音大的弊端,是一种集高科技、智能化、自动化于一体的体质测量仪器,备受市场青睐。
实验证明,这套系统软硬件设计合理、结构精巧、操作方便、实用性强、实时性好,经过系统扩展和升级,可广泛应用于医院、学校、机场等公共场所的体质测量。
关键词:EDA 超声波测量仪AbstractWith the rapid development of science and technology, ultrasonic sensor application in more and more widely.As the ultrasonic point to strong, energy consumption is slow, the spread in the medium distance, and therefore often used in ultrasonic distance measurements, such arange finders and Level Meter and more can be achieved by ultrasound.Ultrasonic testing is often used more rapid, convenient, simple to compute, easy to do real-time control and measurement precision can be achieved in the industrial and practical requirements, so the mobile Robot have also been widely used.In the past, many technology because they can not detect objects within the organization have been hampered, affecting the accuracy and reliability of measurement, ultrasonic sensing technology has changed the situation.Applications in the future, ultrasound will be information technology, new material technology combined, there will be more intelligent, high-sensitivity ultrasonic sensors. This design effectively address the traditional manual measurement of height and mechanical operation speed, noisy by the market. drawbacks, is a set of high-tech, intelligent, automated measuring instruments in one body, much favored.Experiments have proved that the system software and hardware design is reasonable, structural compact, easy to operate, practical, real time, the system expansion and upgrading, and is widely used in hospitals, schools, the airport, public health measure.Keywords :Ultrasonic measurement high-sensitivity目录摘要 (Ⅰ)ABSTRACT (Ⅱ)目录 (Ⅲ)引言 (1)1 超声波 (2)1.1超声波的介绍 (2)1.2超声波发生器 (6)1.3压电式超声波发生器 (6)2传感器 (6)3超声波传感器 (8)4 EDA的设计 (10)4.1 EDA设计思路 (10)4.2超声波测量仪原理 (10)4.3 EP2C5Q208C8芯片的介绍 (12)4.4传感器和其外围以及放大电路的设计 (15)4.5 VHDL顶层文件 (15)4.6传感器产生信号 (17)4.7A/D转换 (18)4.8 计时模块 (18)4.9 3/8译码器部分 (18)4.10显示模块 (21)结束语 (30)参考文献 (31)致谢 (32)引言本次设计电路采用超声波在空气中运行原理设计的一种光机电一体化的身高测量仪,该测量仪是由EP2C5Q208C8作为中央控制系统,超声波发射电路由发射驱动电路和设于该驱动电路输出端的超声波换能器构成,超声波接收电路由超声波接收换能器、限位电路和超声波接收集成块电路构成,能测量的最大距离为10m,测试分辨力为1cm,距离显示用三位数的发光二极管,最大显示距离为99cm。
超声波身高体重测量仪安全操作及保养规程1. 引言超声波身高体重测量仪是一种常见的医疗仪器,用于测量人体的身高和体重。
正确的操作和适当的保养对确保测量结果的准确性和延长仪器寿命至关重要。
本文档将介绍超声波身高体重测量仪的安全操作和保养规程,以确保使用者的安全和仪器的正常运行。
2. 安全操作规程正确的操作超声波身高体重测量仪可以大大减少事故和人身伤害的发生。
以下是几项安全操作规程:2.1 准备工作•在使用超声波身高体重测量仪之前,需要确定地面平坦稳固,并确保待测量包括仪器周围的区域无杂物,以防绊倒或摔倒。
•确保测量仪器和附件完好无损,如有任何破损或故障,应立即停止使用并联系维修人员。
•在操作仪器之前,读取并熟悉仪器的使用说明书和安全手册,以确保正确操作。
2.2 操作步骤•打开超声波身高体重测量仪的电源,并确保仪器处于稳定工作状态。
•根据仪器的使用说明书,调整仪器以适应待测人体的高度和体重范围。
•安置待测人体在仪器的测量区域内,并确保人体稳定站立或坐下,以确保测量结果的准确性。
•启动超声波测量程序,在等待几秒钟后,仪器将显示测量结果。
•完成测量后,关闭超声波身高体重测量仪的电源,并将待测人体移出测量区域。
2.3 安全注意事项•使用过程中,应避免将水或其他液体溅入仪器内部,以免引起电器故障或人身伤害。
•不要将超声波测量仪暴露在极端温度、湿度和灰尘较多的环境中,以免影响仪器的正常运行。
•禁止擅自拆卸和修理超声波身高体重测量仪,如有任何故障或问题,应联系专业的维修人员进行维修。
3. 保养规程定期进行仪器的保养维护可以延长超声波身高体重测量仪的寿命和确保其正常运行。
以下是几项保养规程:3.1 清洁和消毒•在使用过程中,应经常清洁超声波身高体重测量仪的外观和触摸屏等操作部分。
•使用清洁布轻轻擦拭仪器表面,不要使用含有酸性物质或腐蚀性物质的清洁剂。
•仪器使用后,应根据使用说明进行适当的消毒处理。
3.2 仪器调校和校准•定期进行超声波身高体重测量仪的调校和校准,以确保仪器测量结果的准确性。
超声波身高体重测量仪又名:身高体重测量仪、超声波身高体重秤、全自动身高体重秤、身高体重秤、。
沃申公司的多款体检机适用于各个场所的使用,包括医院、社康中心、健身中心、学校、企业、家庭等。
超声波身高体重秤沃申W S-H200型的产品参数:
型号:W S-H200
测量方式:超声波测量
测量范围:体重(8k g-200k g)身高(80c m-200c m)
分度值:体重(0.1k g)身高(0.5c m)
电源电压:A C110V-240V,50H Z
功率:10W
使用湿温度:10-40°20%-85%P H
整机净重:22k g
整机高度:230c m
显示方式:L E D数码显示
超声波身高体重秤沃申W S-H200型的产品特点:
人性化设计:符合人体工程学的外观设计、美观气派、提升使用的档次
测量更精准:体重测量精确到100g、身高精确到5毫米
适合场景环境:医院、体检中心、学校
测量高效:测量过程只要5秒、每小时可测量480人次、非常适合体检量大的医院、体检中心.。
超声波传感器测身高的原理解析随着科技的发展和社会的进步,人们的物质和文化生活变得越来越丰富。
对生活的健康程度也越来越重视,因此产生了很多有助于人们测试健康标准的设备和器材,以满足人们对美的需求。
自动身高测量仪则是其中的一个明显的例子,大家都知道对于身高体重测试仪,因测量方式不同,可分为电子传感测量,超声波测量两种。
下面工采网小编给大家说说基于超声波的身高体重测试仪。
我们以大中小学生为例在广大中小学生在生长发育时期,身高会发生显著变化。
因此,很多学生喜欢在下课时跟同学一起比身高。
但一般的教室里是不可能有量测身高的仪器的,所以同学们只能大致的知道谁高谁矮而已,并不能准确的知道自己身高的确切数据。
针对上述问题工采网小编为大家简单介绍超声波测身高原理及应用。
超声波测身高原理超声波传感器是利用超声波的特性研制而成的传感器。
以超声波作为检测手段,必须产生和接收超声波,完成这种功能的装置就是超声波传感器。
因此超声波身高测量仪是根据超声波遇到障碍物反射回来的特性进行测量的。
超声波发射器向某一方向发射超声波,在发射同时开始计时,超声波在空气中传播,途中碰到障碍物就立即返回来,超声波接收器收到反射波就立即中断停止计时。
通过不断检测产生波发射后遇到障碍物所反射的回波,从而测出发射超声波和接收到回波的时间差,由s=vt/2即可算出被测物体的距离。
由于超声波也是一种声波,其声速v与温度有关,下表列出了几种不同温度下的声速。
在使用时,如果温度变化不大,则可认为声速是基本不变的。
如果测身高精度要求很高,则应通过温度补偿的方法加以校正。
除此之外由于超声波指向性强,能量消耗缓慢,在介质中传播的距离较远,因而超声波经常用于距离的测量。
利用超声波检测身高工采网推荐,美国SENIX ToughSonic 14 超声波传感器。
ToughSonic 14超声波传感器的检测距离为14英尺(4.3米)。
像所有的ToughSonic 传感器一样,它坚固耐用,在恶劣的工业环境中易于使用。
Arduino创意课程-妙用超声波测身高成果背景教育与实践相结合,教育与生活相结合是王老师设计编程类课程的一个原则,也就是说课程所产生的成果都是为我们的生活服务的。
今天的内容也不例外,今天王老师要给大家分享的创意编程课程是《身高测量仪》。
回想一下我们自己小的时候,在家中都是如何进行身高测量的呢,可能会使用下面几种方法:1、身体紧贴墙面,然后在墙面上(头顶位置)画一个横线,最后使用一把卷尺测量横线到地面的距离;2、直接在墙面上贴上一把尺子,然后站在尺子前由另一个人帮忙看下头顶上方的数字。
上面的两种方法操作起来都不够方便,而且需要另外的人帮忙才能完成。
一次偶然王老师在市面上看到这样的身高测量仪(下图)。
上图的身高测量仪顶部有个超声波传感器,传感器可以测得自身到被测人员头顶的距离(H1),传感器到地面的距离是一个固定值(H0),设备使用H0减去H1就可以自动计算出被测人员的身高。
这样的身高测量仪一般使用在医院或者体检中心,如果放在家里可能显得不够小巧,为了让测量身高这件事情变得更加容易操作,王老师决定对上面的方案进行一下改进,王老师的思路是这样的:1、装置或仪器的体积要小,方便存放;2、单人可以完成自己身高的测量;3、装置或仪器的主材是日常生活中的常见材料;根据上面王老师自己提出的这些需求,王老师想到了两种实现方案:方案一、与我们在医院看到的那种基于超声波原理的的身高测量仪十分类似,可以在墙面的某个固定高度安装一个小的装置,内部放置超声波模块对自己身高进行测量,效果如下:方案二、制作一个手持的小装置,需要使用的时候将其取出,无需进行安装,并且可以独自一人进行操作,效果如下:经过对两种方案的比较,最终王老师决定采用方案二,主要有下面几点原因:1、方案一中由于显示屏的位置比较高,测得身高后不太方便看到数值;2、方案二无需进行安装固定,使用起来更加便捷;。
目录1.前言 (1)2.设计说明书 (2)2.1概述 (2)2.1.1基本工作原理 (2)2.1.2主要技术指标 (2)2.2整体硬件电路设计 (3)2.2.1发射模块 (3)2.2.2接收模块 (7)2.2.3计数与显示控制模块 (8)2.2.4电源模块 (11)2.3方案校验 (13)3.结论 (15)4.结束语 (16)参考文献 (17)附录 (18)整机电路原理图 (18)元件明细表 (19)1.前言随着科学技术的快速发展,超声波将在传感器中的应用越来越广。
在人类文明的历次产业革命中,传感技术一直扮演着先行官的重要角色,它是贯穿各个技术和应用领域的关键技术,在人们可以想象的所有领域中,它几乎无所不在。
传感器是世界各国发展最快的产业之一,在各国有关研究、生产、应用部门的共同努力下,传感器技术得到了飞速的发展和进步。
但限于目前的技术水平,人们可以具体利用的传感技术还十分有限,因此,这是一个正在蓬勃发展而又有无限前景的技术及产业领域。
展望未来,超声波传感器作为一种新型的非常重要有用的工具在各方面都将有很大的发展空间,它将朝着更加高定位高精度的方向发展,以满足日益发展的社会需求。
未来的超声波传感器将与自动化、智能化接轨,与其他的传感器集成和融合,形成多传感器。
随着传感器的技术进步,传感器将从具有单纯判断功能发展到具有学习功能,最终发展到具有创造力。
在新的世纪里,面貌一新的传感器将发挥更大的作用。
超声波是一种频率范围在20KHz—100000KHz的机械波。
超声波易于定向发射,方向性好,强度易于控制,与被测物体不需要直接接触等优点。
超声波传感器信息处理速度快,环境适应性强。
本数字超声波身高测量仪的测量范围为0.5m-2.3m,四位数字显示,分辨率0.1cm,测量误差±0.5cm,使用交流市电220V ±10%。
本设计利用超声波良好的反射性能,采用回波测距12h v t=∆来实现。
身高体重测量仪高质量超声波身高体重测量仪HW-900B 超声波身高体重测量仪通过微电脑控制,选用进口探测器,高精度传感器,自动快速精确测量身高,体重,血压,心率,测量结果数码显示,清晰语音播报,高速热敏打印,自动切纸。
是医院,体检中心,学校等体检机构的理想选择。
超声波身高体重测量仪主要技术参数:身高测量范围:80-200cm 分度值0.5cm体重测量范围:10-200kg 分度值0.1kg血压测量范围:0-39kPa脉搏测量范围:40-180times/min电源电压:交流110V—240V (可选接直流12V)待机功率: 8 W图片信息HW-900BHW—700身高体重测量仪HW-700系列身高体重测量仪采用先进的超声波测高技术,配以原装进口探测器,和高精密压力传感器,通过微电脑智能控制,自动精确测量身高、体重。
测量结果高亮LED显示,清晰语音播报。
加上人性化的操作,更能为客户提供贴心服务。
折叠型身高体重测量仪采用铝合金一次拉伸成型,重量轻,折叠后整机高度1.2米。
携带方便,使你外出体检,放在轿车或体检车上,都是一个很好的选择。
身高体重测量仪主要功能特点;✧自动测量身高、体重✧身高体重测量仪 LED数码显示✧身高体重测量仪语音播报测量结果✧ Windows桌面测控管理软件,支持Windows95/98/2000/XP系统✧标准RS-232 数据传输接口✧支持无线数据传输(选配)✧超声波身高体重测量仪宽电压设计✧全自动环境温度误差补偿校正✧高速热敏打印机(选配)✧美国原装军工级超声测高传感器超声波身高体重测量仪主要技术参数:身高测量范围:70—200CM 分度值:0.1/0.5CM体重测量范围:8 — 200KG 分度值:0.1/0.5KG测量速度: 480次/小时使用环境为度:零下10度到40度功率: 14 W身高体重测量仪电压:交流110—220V身高体重测量仪电瓶:12V (可选)净重: 22KG毛重: 25KG身高体重测量仪包装尺寸:长箱: 120 X 20 X 20 CM方箱:58 X 37 X 20 CMHW-600B身高体重测量仪超声波身高体重测量仪随着人们生活水平的提高,无时无刻都在关注自己的健康,家人的健康,可现在每个家庭更应该从小孩子做起,从祖国的花朵开始,注重他们的生长发育,体质状况。
超声波测身高超声波身高测量仪,该测量仪是由单片机组成的单片机中央控制系统,超声波发射电路由发射驱动电路和设于该驱动电路输出端的超声波换能器构成,超声波接收电路由超声波接收换能器、限位电路和超声波接收集成块电路构成。
1. 传感器超声波传感器是利用超声波的特性研制而成的传感器。
以超声波作为检测手段,必须产生和接收超声波,完成这种功能的装置就是超声波传感器。
超声波传感器主要由压电晶片组成,既可以发射超声波,也可以接收超声波。
超声波传感器的核心是其塑料外套或者金属外套中的一块压电晶片。
超声波传感器的主要性能指标是工作频率、工作温度、灵敏度。
2. 超声波发生器为了研究和利用超声波,人们已经设计和制成了许多超声波发生器。
超声波发生器可以分为两类:一类是用电气方式产生超声波,一类是用机械方式产生超声波。
电气方式包括压电型、磁致伸缩型和电动型等;机械方式有加尔统笛、液哨和气流旋笛等。
目前较为常用的是压电式超声波发生器。
压电式超声波发生器的原理:利用压电晶体的谐振来工作的。
超声波发生器内部结构由两个压电晶片和一个共振板构成。
当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频率时,压电晶片将会发生共振,并带动共振板振动,便产生超声波。
反之,如果两电极间未外加电压,当共振板接收到超声波时,讲压迫压电晶片做振动,讲机械能转换为电信号,这时它就成为超声波接收器了。
3. 超声波测量仪原理本设计是以超声波作为检测手段,必须产生超声波和接收超声波。
传感器通过声波的波长和发射声波以及接收到返回声波的时间差就能确定人体的身高,在发送脉冲的同时,接收器的计时器启动并计数,直至接收传感器接收反射回波后,计数停止,该时间差相当于测量的距离,从而可测算出测量仪与头顶之间的距离,即人体的身高。
4. EDA 设计思路该超声波测量仪有 2 个子模块:测距、显示数据。
其中显示数据模块有 3 部分构成:计数、显示、信号处理。
测量主要由超声波传感器完成。
目录一、使用者须知 (2)二、安全管理 (2)三、测量仪简介 (3)四、仪器特点 (3)五、技术指标 (3)六、仪器安装方法 (3)七、操作及设置 (4)八、数据采集软件安装 (6)九、注意事项 (7)十、装箱单 (8)一、使用者须知首先感谢您购买使用HY-STL200型超声波身高体重测量仪!为了保障客户您的正常使用和安全请您仔细阅读本说明书。
使用中发生错误,先关上电源开关后重新启动电源,若还是无法排除问题请联系售后服务中心。
二、安全管理1. 电源适配器破损或损伤,请联系客服中心,不要分解或继续使用。
2. 请勿用力拉拔电源适配器或端口、插头或者用重物压,如果这样容易造成损坏。
3. 请注意不要在以下环境中使用或保管本设备。
环境温度超出-20℃--60℃温度范围的场所保管设备。
环境温度超出10℃--40℃温度范围的场所使用设备。
湿度在95%以上的场所保管设备。
机器会暴露在直射光线或靠近热源的地方保管或使用设备。
浴室或泳池等水蒸气或湿气大的场所。
浴室或泳池等水蒸气或湿气大的场所。
阴凉或震动强烈的场所。
有盐性的空气中的场所。
4. 请勿用可燃性油擦拭设备。
5. 请勿在高压线附近或电气噪音大的场所使用设备6. 连接选配项或周边设备时首先关掉电源。
7.长时间不使用的设备重新起用时先确认产品的外观及功能无异常后再使用。
三、测量仪简介本测量仪专用于人体身高和体重测量,可广泛应用医院、妇幼保健院所、体检中心等场所。
本测量仪测量速度快、稳定性高。
语音智能报告测量结果。
BMI功能为测量者提供体质指数参考。
四、仪器特点测量功能:采用非接触式原装进口超声探头测量身高,防震动精密压力传感器测量体重。
显示方式:高亮度长寿命数码管,智能显示时间、日期、室内温度。
语音功能:语音智能报数、音量手动可调。
五、技术指标:身高测量范围:80-200cm 测量精度:±0.5cm体重测量范围:0-200Kg 测量精度:±0.1Kg电源:AC160-250V、50Hz六、仪器安装方法1、打开木箱,取出秤杆和底座,把底座放在水平的地面上,秤杆下节上的六个孔对准底座上的孔放好,并把螺栓螺母和垫片旋紧牢固。
超声波身高体重测量仪测量身高、体重、BMI体型指数超声波身高体重测量仪测量身高、体重、BMI体格指数、体型(偏瘦、正常、偏胖、肥胖),可以播放视频图片,可以绑定二维码测量结果可以发到手机上,待机状态下显示当前日期、时间,室内环境温度。
语音播报,LCD 液晶屏显示,打印小票。
【全自动超声波身高体重秤】主要检测参数:身高测量方式:美国进口原装超声波探测器测高体重测量方式:精密压力传感器称重,秤盘采用冷板冲压成型(非铸铁)身高测量范围: 60—200CM 分度值 0.1CM体重测量范围: 5—300KG 分度值0.1KG测量速度:480次/小时体型:10英寸大LED体格指数BMI测量结果:LED显示身高、体重、BMI,并指示体型偏瘦、正常、偏胖、肥胖。
语音:测量提示并自动播报测量结果注:本机与计算机连接可采用有线连接(标配RS-232串口)或无线蓝牙连接(选配)。
注意事项* 测量时一定要站直站稳,不要动不要说话* 测量血压前要休息一会,带心态平稳后开始测量* 仪器放在比较硬的地面,不能晃动* 使用前注意检查仪器安装合格身高体重秤厂家相关信息的介绍:郑州鼎恒电子是一家专业生产及研发为一体的大型生产公司,成立于2011年,注册资金500万人民币是以电子产品为主的高科技企业,公司座落于中华民族与华夏文明的发源地-----河南省郑州市高新技术产业开发区。
由公司研制、开发、生产的人体秤以其高质量在国内外同类市场上享有很高的声誉,产品行销全国并出口到俄罗斯、澳大利亚、东南亚、中东、美洲、非洲等国家和地区。
广泛应用于超市、医院、学校、体检中心、体育馆、健身房、公安体检系统以及各种体检场所,产品各项技术指标均达到同类产品较高标准。
本公司主要经营:医用身高体重测量仪.婴幼儿身长体重一体机.透析秤/轮椅秤.微信广告秤.投币人体等!郑州鼎恒电子科技有限公司期待与您合作,欢迎来电咨询吧!。
超声波身高体重测量仪技术参数数量:1台预算:2万元1.技术参数1.1电源:电压AC220V,频率50Hz1.2使用环境:温度范围:+10℃~+40℃;湿度范围20%RH~85%RH(无冷凝)1.3保存环境:-5℃~55℃;不大于85%RH,通风良好,干燥的室内,周围空气中应无腐蚀性气体1.4身高测量范围: 70cm~200cm,分辨率0.1 cm,最大误差±0.5cm1.5体重测量范围: 2kg~200kg,最大误差±0.1kg★1.6 通过计量认证:可提供计量院出具的计量认证证明资料。
2、功能特性2.1 测量方式:手动﹑自动﹑遥控三种方式可随意选择,满足不同用户的使用场景需求。
2.2温度补偿功能:双温度传感器,具有温度补偿功能,可提高身高测量结果的准确性。
2.3进口称重传感器:采用进口称重传感器2.4进口超声波探头:采用进口超声波探头。
2.5检测参数:可检测身高、体重,可计算BMI、体表面积、男性超重百分比、女性超重百分比。
2.6语音播报功能:可播报操作指导、注意事项、测量结果、异常提示,并可对播报内容进行选择设置。
2.7打印结果:可进行测量结果数值打印、条码打印、体重指数BMI参照表打印(中国卫生行业标准(WS/T428-2013)参照表、WHD标准-2004参照表)多种结果显示打印。
★2.8离线保存测量结果:本机可保存 2000例测量结果。
★2.9网络直连功能:可通过网线、WIFI以及移动网络模块(选配)等方式直接将设备的检测数据传输至医院各个网络系统,不在需要单独传输至PC端,提升传输效率、节省医院成本。
3、其他要求3.1、提供耗材及零配件供货价格供业主参考3.2、质保期≥2年3.3、所投设备具有医疗器械注册证,供货商具有医疗设备经营许可证或医疗设备生产许可证3.4、供货期:合同签订后15日内。
超声波身高体重测试仪学校专用身高体重测试仪社区专用身高体重测试仪身高体重测试仪体检行业高度发展的今天,河南乐佳电子科技有限公司为你详解身高体重测试仪行业参数标准。
HW-600身高体重测试仪河南乐佳电子科技有限公司超声波身高体重测试仪通过微电脑控制,自动测量身高、体重、BMI ,测量结果自动打印,自动切纸、清晰语音报出,LED 显示(LED指示体型偏胖、正常、偏瘦。
打印结果可以打印出身高、体重、BMI、标准体重范围。
身高体重测量仪技术参数:三身高测量:80---200CM 分度值0.5CM体重测量: 8---200KG 分度值0.1KG电压:110---220V待机时功率:≤8W打印时功率:≤14W测量时功率:≤12W平均耗电量:≤10W③绝缘性能及抗电强度电源与机壳间绝缘电阻:≥20MΩ抗电强度:2000kV/1分钟净重:40毛重:45包装尺寸:方箱70 X 50 X 32 CM长箱110 X 31 X 31 CMHW-700学校专用身高体重测试仪身高测量方式: 超声波测距(美国原装探头并实现温差补偿)体重测量方式:精密平衡梁式压力传感器称重,秤盘采用冷板冲压成型(非铸铁)。
体型: 1 英寸大LED显示国际通用体格指数(BMI)。
显示方式:主显示屏LED显示屏测量范围: 身高测量范围:60-200cm 分度值0.1cm体重测量范围:8-200kg 分度值0.1kg测量速度:490次/小时语音提示:有语音自动播报测量结果数据输出格式:RS-232电源电压:交流(照明电):110V-220V,50HZ直流(蓄电池):12V±10%功耗:待机时功率:≤8W测量时功率:≤12W平均耗电量:≤10W工作环境:环境温度:-10℃~+40℃环境湿度:<85%外形设计:符合人体工程学整机重量: 毛重:25KG 净重:22KG外形尺寸:33X 46X230CMHW-900B 社区专用身高体重测试仪HW-600B 身高体重测试仪HW-900B超声波身高体重血压心率测量仪产品名称:身高体重测量仪超声波身高体重测量仪产品型号:HW-900B操作方式:自动,手动.身高测量方式:超声波精密测距,美国原装探测器,自动温度补尝体重测量方式:航空仪表级压力传感器,精密测量体重体型:国际通用体型指数BMI血压测量:欧姆龙臂式血压计待机自动显示:室内温度,时间显示方式:主机LED 显示,可选配多媒体广告屏身高测量范围:60—200CM分度值 0.5CM体重测量范围:8—200KG 分度值0.1KG血压测量范围:0-39KPa 脉搏40—180次/分测量结果打印:高速热敏打印,自动切纸。
超声波测身高超声波身高测量仪,该测量仪是由单片机组成的单片机中央控制系统,超声波发射电路由发射驱动电路和设于该驱动电路输出端的超声波换能器构成,超声波接收电路由超声波接收换能器、限位电路和超声波接收集成块电路构成。
1.传感器超声波传感器是利用超声波的特性研制而成的传感器。
以超声波作为检测手段,必须产生和接收超声波,完成这种功能的装置就是超声波传感器。
超声波传感器主要由压电晶片组成,既可以发射超声波,也可以接收超声波。
超声波传感器的核心是其塑料外套或者金属外套中的一块压电晶片。
超声波传感器的主要性能指标是工作频率、工作温度、灵敏度。
2. 超声波发生器为了研究和利用超声波,人们已经设计和制成了许多超声波发生器。
超声波发生器可以分为两类:一类是用电气方式产生超声波,一类是用机械方式产生超声波。
电气方式包括压电型、磁致伸缩型和电动型等;机械方式有加尔统笛、液哨和气流旋笛等。
目前较为常用的是压电式超声波发生器。
压电式超声波发生器的原理:利用压电晶体的谐振来工作的。
超声波发生器内部结构由两个压电晶片和一个共振板构成。
当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频率时,压电晶片将会发生共振,并带动共振板振动,便产生超声波。
反之,如果两电极间未外加电压,当共振板接收到超声波时,讲压迫压电晶片做振动,讲机械能转换为电信号,这时它就成为超声波接收器了。
3.超声波测量仪原理本设计是以超声波作为检测手段,必须产生超声波和接收超声波。
传感器通过声波的波长和发射声波以及接收到返回声波的时间差就能确定人体的身高,在发送脉冲的同时,接收器的计时器启动并计数,直至接收传感器接收反射回波后,计数停止,该时间差相当于测量的距离,从而可测算出测量仪与头顶之间的距离,即人体的身高。
4.EDA设计思路该超声波测量仪有2个子模块:测距、显示数据。
其中显示数据模块有3部分构成:计数、显示、信号处理。
测量主要由超声波传感器完成。
将发射和接收的时间差转换为电信号,再有A/D转换器得到数字信号,然后将数字信号送入到计数部分,再到显示。
5.EDA设计(1).VHDL顶层设计顶层文件就是用原件例化格式将显示模式、计时模块、分频模块组合在一起。
其源代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock isport(clk:in std_logic;enable:in std_logic;sel:out std_logic_vector(2 downto 0);choose:out std_logic_vector(7 downto 0);segment:out std_logic_vector(6 downto 0);end clock;architecture rt1 of clock iscomponent clk_div100 --分频器port(clk:in std_logic;clk_div:out std_logic);end component;component time_counter --计数器(产生各分秒显示位)port(enable:in std_logic;clk0:in std_logic;sec10:out std_logic_vector(2 downto 0);sec:out std_logic_vector(3 downto 0);seec10:out std_logic_vector(3 downto 0);seec:out std_logic_vector(3 downto 0););end component;component display --与数码管连接,显示分秒位在其上port(clk:in std_logic;sec10:in std_logic_vector(2 downto 0);sec:in std_logic_vector(3 downto 0);seec10:in std_logic_vector(3 downto 0);seec:in std_logic_vector(3 downto 0);sel:out std_logic_vector(2 downto 0);choose:out std_logic_vector(7 downto 0);segment:out std_logic_vector(6 downto 0));end component;signal sec10:std_logic_vector(2 downto 0);signal sec:std_logic_vector(3 downto 0);signal seec10:std_logic_vector(3 downto 0);signal seec:std_logic_vector(3 downto 0);signal clk0:std_logic;beginu0:clk_div100 port map(clk,clk0);u1:time counter port map(enable,clk0,sec10,sec,seec10,seec); u2:display port map(clk,sec10,sec,seec,seec10,choose,segment); u2:display port map(clk,sec10,sec,seec10,seec,sel,segment); end rt1;(2).传感器产生信号clk源代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clk_div10 isport(clk:in std_logic;clk_div:out std_logic);end clk_div10;architecture rt1 of clk_div10 issignal q_tmp :integer range 0 to 9;beginprocess(clk)beginif(clk’event and clk=’1’)thenif(q_tmp=9)thenq_tmp<=0;elseq_tmp<=q_tmp+1;end if;end if;end process;process(clk)beginif(clk’event and clk=’1’)thenif(q_tmp=9)thenclk_div<=’1’;elseclk_div<=’0’;end if;end if;end process;end rt1;(3).计时模块源代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 isport(enable :in std_logic;clk: in std_logic;cout: out std_logic;q:out std_logic_vector(3 downto 0));end count10;architecture rt1 of count10 issignal q_tmp:std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk’event and clk=’1’)thenif(enable=’1’)thenif(q_tmp=”1001”)thenq_tmp<=(others=>’0’);elseq_tmp<=q_tmp+1;end if;end if;end if;q<=q_tmp;end process;cout<=’1’when q_tmp=”1001”and enable=’1’ else’0’; cout<=’1’when q_tmp=”1001” else’0’;end rt1;library ieee;use ieee.std_logic_1164.all;entity time_counter isport(enable:in std_logic;clk0:in std_logic;sec10:out std_logic_vector(2 downto 0);sec:out std_logic_vector(3 downto 0);seec10:out std_logic_vector(3 downto 0);seec:out std_logic_vector(3 downto 0););end time_counter;architecture rt1 of time_counter iscomponent count10port(enable:in std_logic;clk: in std_logic;cout: out std_logic;q: out std_logic_vector(3 downto 0));end component;signal co1,co2,co3,co4,co5,co6,co7:std_logic;beginu0:count10 port map(enable,clk0,co1,seec);u1:count10 port map(co1,clk0,co2,seec10);u2:count10 port map(co2,clk0,co3,sec);u3:count10 port map(co3,clk0,co4,sec10);(4).显示模块其模块框图如下:从图3-1中可以看出,显示模块有四个部分构成:八进制计数器、计时位选择电路、七段显示译码电路、显示位选择译码电路。
在外部时钟信号clk的作用下,8进制计数器的输出从000到111按顺序循环变化,输出信号为sel。
信号sel经过位选择电路译码后产生用来选通一个LED 七段显示数码管的choose信号,同时它还作为计时位选择电路的选择信号,用来选择对应位的数据并将其转换为四位位矢量。
,将其转换成用来点燃LED七段显示数码管的segment信号。
library ieee; --三八译码器use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count8 isport(clk:in std_logic;sel:out std_logic_vector(2 downto 0);end count8;architecture rt1 of count8 issignal sel_tmp:std_logic_vector(2 downto 0);beginprocess(clk)beginif (clk’event and clk=’1’)thenif(sel_tmp=”111”)thensel_tmp<=(others=>’0’);elsesel_tmp<=sel_tmp+1;end if;sel<=sel_tmp;end process;end rt1;计时位选择电路的功能是根据8进制计数器的计数输出的选择信号来选择对应计时显示位的计时数据,作为送至七段显示译码电路的输入数据。