数字秒表课程设计1
- 格式:doc
- 大小:98.50 KB
- 文档页数:14
1概述1.1课程设计的目的课程设计的目的主要是通过设计环节的实际训练,加深学生对该课程基础知识和基本理论的理解和掌握,培养学生综合运用所学知识的能力,使之在理论分析、设计、计算、制图、运用标准和规范、查阅设计手册与资料以及计算机应用能力等方面得到初步训练,促进学生养成严谨求实的科学态度。
1.2课程设计的技术要求(1)设计一个能测量八名运动员短跑成绩的数字秒表。
要求用四位数码管显示时间,格式为00:00s。
(2)秒表设置九个开关输入(清零开关一个和记录开关八个)。
按下记录开关,将当前计数时间暂存并显示在数码管上。
(3)确定设计方案,按功能模块的划分选择元器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
2数字秒表的设计与制作2.1设计方案选择本系统主要由555 定时器构成的多谐震荡电路,以74LS90芯片为核心的多功能计数器,以及以74LS48 和LED 共阴极数码管为核心的译码驱动显示电路等组成。
通过555 定 时电路产生一个100HZ 的脉冲信号(其对应最小计时单位0.01S ),在脉冲发生由高电平到低电平变化时驱动低位计数器进行计数,在驱动译码显示的同时,满进制向高位发出进位信号并自身清零。
可以通过外围控制电路实现对秒表的清零和显示暂停等功能。
本设计可以有以下几种常见的设计思路:其一是始终发生电路采用固定频率的晶振实现脉冲信号的产生,在经过分频器实现分频,最终得到100HZ 的信号。
其二是计数电路的设计可以通过74LS92 和74LS160实现,也可以由74LS290 实现,最终确定采用74LS90方案,因为此计数不需要进行置数(除了清零),因此采用74LS90比较简洁。
2.2系统模块组成数字秒表主要由多谐振荡电路、计数电路、寄存电路和译码显示电路组成。
系统组成框图如下图所示:图2.2.1 系统组成框图2.3系统功能要求(1)具有始终秒表系统功能要求显示功能,用四个数码管分别显示秒和分;(2)具有3种功能状态:系统时间运行状态,系统时间至零状态,暂存显示状态,通过输入控制信号可以使系统在这3个状态之间切换,使数码管显示相应状态的时间;(3)秒采用100进制计数,当计数到99时又会恢复为00;百分秒采用100进制计数,当计数到99时,向上进位并恢复00。
西课程设计数字秒表一、课程目标知识目标:1. 学生能理解数字秒表的基本概念,掌握其计时原理。
2. 学生能运用数学知识,解读数字秒表上的时间显示,进行简单的时间计算。
3. 学生了解数字秒表在日常生活和科学实验中的应用。
技能目标:1. 学生能熟练操作数字秒表,进行准确计时。
2. 学生能通过数字秒表进行简单的数据收集和分析,提高实验技能。
3. 学生能运用所学的数字秒表知识,解决实际问题。
情感态度价值观目标:1. 培养学生对科学实验的兴趣,激发探索精神。
2. 培养学生严谨、细致的科学态度,提高合作意识。
3. 增强学生对时间观念的认识,培养珍惜时间的价值观。
课程性质:本课程为科学实验课,结合数学知识,旨在让学生通过实际操作,掌握数字秒表的使用方法,提高实验技能。
学生特点:六年级学生具备一定的数学基础,对新事物充满好奇,有较强的动手能力,但需引导培养严谨的科学态度。
教学要求:结合学生特点,注重实践操作,强调知识的应用,提高学生的实验技能和解决实际问题的能力。
在教学过程中,关注学生的情感态度,培养合作精神。
通过本课程的学习,使学生达到课程目标所设定的具体学习成果。
二、教学内容1. 数字秒表的基本概念与功能- 介绍数字秒表的组成、工作原理及特点- 学习数字秒表的计时功能,包括启动、停止、计次、分段计时等2. 数字秒表的操作与实践- 指导学生掌握数字秒表的操作方法- 安排实际操作练习,让学生熟练使用数字秒表进行计时3. 时间计算与分析- 结合数学知识,学习数字秒表上的时间显示解读- 进行简单的时间计算,如加减乘除、平均速度等4. 数字秒表在实际应用中的使用- 分析数字秒表在日常生活和科学实验中的应用案例- 设计实践活动,让学生运用数字秒表解决实际问题5. 教学内容的安排与进度- 第一课时:数字秒表的基本概念与功能- 第二课时:数字秒表的操作与实践- 第三课时:时间计算与分析- 第四课时:数字秒表在实际应用中的使用本教学内容依据课程目标,注重科学性和系统性,结合课本章节,安排合理的教学进度。
plc数字秒表课程设计一、课程目标知识目标:1. 理解PLC(可编程逻辑控制器)的基本原理及在工业控制中的应用。
2. 掌握PLC数字秒表的编程方法,包括定时器指令的使用和程序结构设计。
3. 学习并掌握数字秒表在实际工程中的运用,理解其计数、计时功能。
技能目标:1. 能够运用所学知识,独立设计并实现一个PLC数字秒表的程序。
2. 通过实践操作,提高动手能力,加强团队协作,培养解决实际问题的能力。
3. 学会使用PLC编程软件进行程序的编写、调试和故障排查。
情感态度价值观目标:1. 培养学生对自动化控制技术的兴趣,激发学习热情。
2. 增强学生的工程意识,培养严谨、细致的工作态度。
3. 培养学生的创新意识,鼓励探索新知,提高自主学习能力。
课程性质分析:本课程为实践性较强的学科,结合PLC技术在工业控制中的应用,以数字秒表为载体,培养学生的编程技能和工程实践能力。
学生特点分析:学生处于具有一定电子技术和编程基础的高年级阶段,具备一定的自主学习能力和问题解决能力。
教学要求:结合学生特点,以实践为主,注重理论与实践相结合,通过项目驱动的教学方式,使学生在实践中掌握PLC数字秒表的编程和应用。
教学过程中,注重引导学生主动探索,培养学生的创新精神和实践能力。
通过课程目标的实现,为学生的未来职业发展奠定基础。
二、教学内容1. PLC基本原理介绍:包括PLC的组成、工作原理、常用编程语言等,对应教材第一章内容。
2. 定时器指令学习:详细讲解定时器的类型、功能、编程方法,对应教材第二章第二节。
- 定时器的分类和使用方法- 定时器指令在PLC程序中的应用实例3. PLC数字秒表程序设计:- 程序设计思路和方法,对应教材第三章第一节- 实践操作:设计并实现一个数字秒表程序,包括启动、停止、复位功能4. PLC编程软件的使用:- 编程软件的基本操作,对应教材第四章第一节- 程序的编写、调试和故障排查方法5. 实践项目:以小组形式完成一个PLC数字秒表的制作,包括硬件连接、程序编写和调试,整合前述章节内容。
plc数字秒表课程设计一、教学目标本课程旨在通过学习PLC数字秒表的相关知识,使学生掌握PLC的工作原理、编程方法和应用技巧。
在知识目标方面,学生需要了解PLC的基本组成、工作原理以及数字秒表的编程方法。
在技能目标方面,学生需要能够熟练使用PLC编程软件进行数字秒表的编程,并能够独立完成数字秒表的调试和运行。
在情感态度价值观目标方面,通过本课程的学习,使学生培养对PLC技术的兴趣和热情,提高学生解决实际问题的能力。
二、教学内容本课程的教学内容主要包括PLC的基本组成、工作原理、编程方法和数字秒表的应用。
具体包括以下几个部分:1.PLC的基本组成:介绍PLC的硬件结构和软件系统,使学生了解PLC的各个部分及其功能。
2.PLC的工作原理:讲解PLC的工作过程,包括输入输出处理、存储器功能、程序执行等,使学生掌握PLC的工作原理。
3.编程方法:介绍PLC编程的基本方法,包括指令的使用、程序的结构、编程技巧等,使学生能够熟练使用PLC编程软件进行编程。
4.数字秒表的应用:讲解数字秒表的功能、编程方法和应用案例,使学生能够掌握数字秒表的编程和应用。
三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用多种教学方法相结合的方式进行教学。
具体包括以下几种方法:1.讲授法:教师通过讲解PLC的基本组成、工作原理、编程方法和数字秒表的应用等内容,使学生掌握相关知识。
2.案例分析法:教师通过分析实际案例,使学生了解PLC在实际工程中的应用,提高学生的实际操作能力。
3.实验法:学生通过动手实验,验证PLC编程的正确性,培养学生的动手能力和实际问题解决能力。
4.讨论法:教师学生进行小组讨论,分享学习心得和经验,提高学生的沟通能力和团队协作能力。
四、教学资源为了支持本课程的教学内容和教学方法的实施,我们将选择和准备以下教学资源:1.教材:选用权威、实用的PLC教材,为学生提供系统的理论知识。
2.参考书:提供相关的PLC技术参考书籍,丰富学生的知识体系。
数字逻辑课程设计_秒表一、教学目标本课程旨在让学生掌握秒表的基本原理和使用方法,培养学生的数字逻辑思维和实际操作能力。
具体目标如下:1.知识目标:学生能够理解秒表的工作原理,包括时间计算、计数器等基本概念。
2.技能目标:学生能够熟练使用秒表进行时间测量和计数,并能进行简单的故障排查和维修。
3.情感态度价值观目标:通过学习秒表,培养学生对科学技术的兴趣和好奇心,提高学生的问题解决能力和团队合作意识。
二、教学内容本课程的教学内容主要包括以下几个部分:1.秒表的基本原理:介绍秒表的工作原理,包括时间计算、计数器等基本概念。
2.秒表的使用方法:教授学生如何正确使用秒表进行时间测量和计数,包括操作步骤和注意事项。
3.秒表的故障排查和维修:培养学生对秒表故障的识别和解决能力,包括常见故障的原因和维修方法。
三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用多种教学方法:1.讲授法:教师通过讲解秒表的基本原理和使用方法,让学生掌握相关知识。
2.讨论法:学生分组讨论秒表的使用心得和故障解决经验,促进学生之间的交流和合作。
3.案例分析法:教师提供一些实际的案例,让学生分析并解决秒表的使用问题,培养学生的实际操作能力。
4.实验法:学生在实验室进行秒表的操作和实践,加深对秒表的理解和掌握。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:选择合适的秒表教材,为学生提供系统的学习资料。
2.参考书:提供一些相关的参考书籍,供学生进一步深入学习。
3.多媒体资料:制作一些教学视频和演示文稿,帮助学生更好地理解秒表的工作原理和使用方法。
4.实验设备:准备一些秒表和相关实验设备,让学生进行实际操作和实验。
五、教学评估为了全面、客观、公正地评估学生的学习成果,本课程将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答、小组讨论等表现,评估其学习态度和理解能力。
单片机数字秒表课程设计一、课程目标单片机数字秒表课程设计旨在通过实践操作,使学生在知识与技能、过程与方法、情感态度价值观三方面得到全面发展。
1. 知识目标:(1)掌握单片机的基本原理和结构;(2)了解数字秒表的工作原理;(3)熟悉C语言编程和单片机编程环境。
2. 技能目标:(1)能够运用所学知识设计并实现一个简单的数字秒表;(2)培养动手实践能力,提高问题解决能力;(3)提高团队协作和沟通表达能力。
3. 情感态度价值观目标:(1)激发学生对单片机及电子技术的学习兴趣,培养科技创新精神;(2)培养学生严谨的科学态度和良好的学习习惯;(3)增强学生的自信心和成就感,培养克服困难的意志。
课程性质:本课程为实践性课程,注重理论联系实际,强调动手能力培养。
学生特点:本课程针对初中年级学生,他们在前期的学习中已具备一定的电学基础和编程知识,对新鲜事物充满好奇心。
教学要求:教师需结合学生特点,以引导为主,注重启发式教学,充分调动学生的积极性和主动性,将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容根据课程目标,教学内容主要包括以下几部分:1. 单片机原理及结构:涉及单片机的内部组成、工作原理、引脚功能等,对应教材第二章内容。
2. 数字秒表原理:介绍数字秒表的基本工作原理,包括计时、计数、显示等,对应教材第四章内容。
3. C语言编程:复习C语言基础知识,重点掌握数组、循环、函数等编程技巧,对应教材第五章内容。
4. 单片机编程环境:学习如何使用编程软件(如Keil)进行程序编写、编译和下载,对应教材第六章内容。
5. 实践操作:设计并实现一个简单的数字秒表,分小组进行实践操作,培养动手能力。
教学大纲安排如下:第一周:回顾单片机原理及结构,学习数字秒表原理;第二周:复习C语言基础知识,学习单片机编程环境;第三周:编写数字秒表程序,进行调试;第四周:分组实践,完成数字秒表的设计与制作。
教学内容具有科学性和系统性,确保学生在掌握理论知识的基础上,通过实践操作提高综合能力。
数字秒表课程设计及仿真一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的工作机制。
2. 学生能描述数字秒表电路的组成,包括时钟电路、触发器、计数器等关键元件。
3. 学生能够运用所学知识,分析并解释数字秒表中时间测量的精度和误差来源。
技能目标:1. 学生能够运用仿真软件设计并搭建一个简单的数字秒表电路模型。
2. 学生通过实际操作,学会设置数字秒表,进行时间的测量和记录,掌握基本的时间计算方法。
3. 学生能够利用仿真工具对数字秒表电路进行调试,解决简单的故障问题。
情感态度价值观目标:1. 学生通过课程学习,培养对电子科技的兴趣,增强对科学探究的热情。
2. 学生能够在小组合作中发展团队协作精神,学会相互尊重和交流分享。
3. 学生通过实际操作和问题解决,培养面对挑战的积极态度和解决实际问题的自信心。
课程性质:本课程属于电子技术实践课程,结合理论教学与实际操作,强调知识的应用与创新。
学生特点:考虑到学生年级特点,课程设计将结合学生的好奇心和动手能力,通过形象直观的仿真实验,激发学生的学习兴趣。
教学要求:教学过程中应注重理论与实践相结合,强调知识的应用和技能的培养,通过课程学习,使学生能将所学知识内化为解决实际问题的能力。
教学评估将基于学生在课程中的具体学习成果进行。
二、教学内容本课程教学内容主要包括以下几部分:1. 数字秒表基本原理:介绍数字秒表的计时原理,分析时钟电路、触发器、计数器等关键元件的工作原理。
2. 数字秒表电路组成:详细讲解数字秒表的电路结构,包括时钟电路、控制电路、显示电路等组成部分。
3. 仿真软件应用:教授学生如何使用仿真软件,搭建数字秒表电路模型,并进行调试。
4. 实践操作:指导学生进行数字秒表的设置、时间测量和记录,以及基本的时间计算方法。
5. 故障分析与解决:教授学生如何分析数字秒表电路中的常见故障,并运用所学知识解决问题。
教学内容安排如下:第一课时:数字秒表基本原理及电路组成1. 介绍计时原理和关键元件2. 分析电路结构及工作原理第二课时:仿真软件应用与实践操作1. 搭建数字秒表电路模型2. 进行仿真调试和实际操作第三课时:故障分析与解决1. 分析常见故障及其原因2. 解决实际问题,提高操作技能教学内容与教材关联性:本课程内容紧密联系教材中关于数字电路、计时器等方面的知识,确保学生所学内容的科学性和系统性。
简易数字秒表课程设计1单片机技术课程设计说明书题目系、部: 电气与信息工程系学生姓名: 胡世平指导教师: 王韧职称副教授专业: 自动化班级: 自本0801班完成时间:2010年12月30日星期三摘要随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。
本次我们设计的秒表,是以AT89S52为核心,以分频、计数与译码显示模块为主要构成部分的电子秒表的设计方案,充分利用数字电路的计数、译码、显示的优良特性,使整个设计达到了比较满意的效果。
基本电路主要有时基产生电路、电源电路、分频电路、计数与译码电路(包括显示电路)、开关按钮电路。
所设计的电子秒表达到了设计要求的各项指标,并且在这个基础上进行了功能扩展,系统具有随时启动、停止以及清零功能。
这次设计中不但对以前的知识进行巩固,而且学会了更多的新知识,提高思维、强化动手能力,能够更好地适应和走上工作岗位,为以后的就业打下一定的基础。
关键词数字式秒表;单片机;计时ABSTRACTWith the development of electronic technology, electronic technology in various fields of using more and more widely, penetrates into all aspects of People's Daily life, and have the necessary electrical and electronic knowledge has become contemporary college students especially necessary .This we designed stopwatch, is AT89S52 as the core, with separate frequency, counting and decoding display module for main components of electronic stopwatch design scheme, make full use of digital circuit counting, decoding, display excellent characteristics of, make whole design achieved satisfactory effect. The basic circuit main sometimes base produce circuit, count and decoder circuit (including display circuit), switch button circuit. The design of electronic stopwatch meets the design requirements of each index, and on this basis, the function expansion and the system has always start and stop and reset function. This design not only for the previous knowledge for consolidated, and learn to more new knowledge.Key words Digital stopwatch; Computers,; Count time第1页共8页目录1 设计课题名称任务、功能要求说明及总体方案介绍等等 (4)1.1 设计课题任务 (4)1.2 功能要求说明 (4)1.3 设计课题总体方案介绍...............................................................4 2 硬件系统的设计 (5)2.1 硬件系统各模块功能简要介绍 (6)2.2 电路原理图、PCB图、元器件布局图 (6)2.3 元器件清单...........................................................................9 3 软件系统的设计 (11)3.1 设计课题使用单片机资源的情况 (11)3.2 设计课题软件系统各模块功能简要介绍 (11)3.3 设计课题软件系统程序流程框图 (13)3.4 设计课题软件系统程序清单......................................................15 4 设计结论、仿真结果、误差分析、教学建议等等 (21)4.1 设计课题的设计结论及使用说明 (21)4.2 设计课题的仿真结果 (21)4.3 设计课题的误差分析 (22)4.4 设计体会,谈谈本设计的重点、难点及精妙之处 (22)4.5 教学建议..............................................................................22 致谢................................................................................................23 参考文献..........................................................................................24 附录 (25)第2页共8页1 设计课题名称任务、功能要求说明及总体方案介绍1.1设计任务:设计一个单片机控制的多功能秒表系统。
单片机数字秒表课程设计一、课程目标知识目标:1. 理解单片机的基本原理,掌握其编程方法;2. 学习并掌握计时器/计数器的原理和使用方法;3. 了解数字秒表的原理,学会设计简单的数字秒表电路。
技能目标:1. 能够运用所学知识,独立完成单片机数字秒表的编程和调试;2. 能够运用电子元件搭建简单的数字秒表电路,并进行功能测试;3. 培养动手实践能力,提高问题解决能力和团队协作能力。
情感态度价值观目标:1. 培养学生对单片机及电子制作的兴趣,激发创新意识;2. 培养学生严谨的科学态度,养成细致观察、精益求精的习惯;3. 培养学生团队合作精神,学会相互尊重、相互帮助。
课程性质:本课程为实践性较强的课程,结合理论知识与实际操作,旨在培养学生的动手实践能力和创新能力。
学生特点:学生已具备一定的单片机基础知识,对编程和电子制作有一定的了解,但对实际应用尚缺乏经验。
教学要求:结合学生特点,注重理论与实践相结合,引导学生通过实践解决问题,提高学生的实际操作能力和创新思维。
将课程目标分解为具体的学习成果,以便于教学设计和评估。
1. 单片机基础理论回顾:主要包括单片机的内部结构、工作原理、指令系统等,为后续编程打下基础。
相关教材章节:第一章 单片机概述。
2. 计时器/计数器原理与使用:详细介绍计时器/计数器的工作原理、编程方法及应用。
相关教材章节:第三章 定时器/计数器。
3. 数字秒表的原理与设计:分析数字秒表的电路原理,学习如何利用单片机和外围元件设计数字秒表。
相关教材章节:第五章 数字电路设计。
4. 单片机编程与调试:学习使用编程软件,编写数字秒表的程序,并进行调试。
相关教材章节:第二章 单片机编程。
5. 实践操作:学生分组进行数字秒表的搭建、编程、调试及功能测试。
教学进度安排:1. 课时1:回顾单片机基础理论;2. 课时2:学习计时器/计数器原理与使用;3. 课时3:讲解数字秒表的原理与设计;4. 课时4:学习单片机编程与调试;5. 课时5-6:实践操作,完成数字秒表的设计与制作。
数字显示电子秒表课程设计一、课程目标知识目标:1. 理解数字显示电子秒表的基本工作原理,掌握其组成结构及功能;2. 学会使用电子秒表进行时间测量,并能够准确读取数字显示结果;3. 掌握时间单位换算,如秒、分、小时之间的转换。
技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字显示电子秒表电路;2. 学会使用相关工具和仪器,进行电子秒表的调试与故障排查;3. 培养动手实践能力,提高团队协作能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣和热情,激发创新思维;2. 增强学生的环保意识,学会珍惜和合理利用电子资源;3. 培养学生严谨的科学态度,养成认真细致的学习习惯。
课程性质:本课程为电子技术实践课程,以理论教学为基础,侧重于培养学生的动手操作能力和实际应用能力。
学生特点:针对初中年级学生,已有一定的电子技术基础,对新鲜事物充满好奇,具备一定的动手能力。
教学要求:结合学生特点,注重理论与实践相结合,强化操作技能训练,鼓励学生主动探索、积极思考,提高解决问题的能力。
将课程目标分解为具体的学习成果,以便在教学过程中进行有效指导和评估。
二、教学内容1. 电子秒表基本原理:介绍数字显示电子秒表的工作原理,包括时钟电路、计数器、显示电路等组成部分。
2. 元器件识别与使用:学习常用电子元器件的识别、功能及使用方法,如电阻、电容、二极管、晶体管等。
3. 数字显示技术:讲解数字显示电子秒表中的显示技术,包括LED数码管、LCD显示屏等。
4. 电路设计与搭建:学习如何设计简单的数字显示电子秒表电路,并进行实际操作搭建。
5. 时间测量与计算:掌握电子秒表的使用方法,进行时间测量,并进行时间单位换算。
6. 故障排查与调试:教授电子秒表常见故障的排查方法,培养学生的问题分析和解决能力。
教学内容安排与进度:第一课时:电子秒表基本原理及元器件识别。
第二课时:数字显示技术及电路设计。
第三课时:电路搭建与初步调试。
第四课时:时间测量与计算。
北华航天工业学院电子工程系EDA综合课程设计——数字秒表设计姓名:__ ___班级:_ ______指导老师:_ _______摘要EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。
本次课程设计就是利用VHDL语言结合硬件电路来实现数字秒表的功能,数字秒表有4个模块构成,分别为分频电路模块,去抖电路模块,时间计数电路模块,显示模块。
用VHDL 语言编程来实现各个模块的功能,再用原件例化的方法实现各模块之间的连接,从而实现整个数字秒表电路的功能。
一、设计要求秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。
在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需要有一个启动信号和归零信号,以便秒表能随意停止及启动。
秒表共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个计数器的输出全部都为BCD码输出,这样便于和显示译码器的连接。
当计时达60分钟后,蜂鸣器报警。
二、模块结构1.四个10进制计数器:用来分别对百分之一、十分之一秒、秒和分进行计数;2.两个6进制计数器:用来分别对10秒和10分进行计数:3.分频率器:用来产生100Hz计时脉冲:4.显示译码器:完成对显示的控制。
三、硬件要求1.主芯片EPF10K10LC84-42.6位八段扫描共阴极数码显示管3.二个按键开关(归零、启动)四、实验内容及步骤1.根据电路特点,用层次设计概念将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口。
分别让学生分作和调试其中之一,然后再将各模块结合起来联试。
以培养学生之间的合作精神,同时加深层次化设计概念。
2.了解软件的元件管理深层含义,以及模块元件之间的连接该概念,对于不同目录下的同一设计,如何熔合。
3.适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同,让学生有更深一步了解。
熟悉CPLD设计的调试过程中手段的多样化。
4.按适配划分后的管脚定位,同相关功能块硬件电路接口连线。
5.所有模块全用VHDL语言描述。
五、设计原理与技术方法:包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。
(一)设计流程1、设计实验目的:在MAX+plusII软件平台上,熟练运用V H D L语言,完成数字时钟设计的软件编程、编译、综合、仿真,使用EDA 实验箱,实现数字秒表的硬件功能。
2、设计原理总体框图:本系统设计采用自顶向下的设计方案,系统的整体组装设计原理框图如图(1)所示,它主要由控制模块、时基分频模块,计时模块和显示模块四部分组成。
各模块分别完成计时过程的控制功能、计时功能与显示功能。
数字秒表设计原理图六、数字秒表各个模块的VHDL语言设计1、时基分频模块将实验箱提供的2.5MHz的时钟脉冲分频后变成100Hz的脉冲,该模块的VHDL设计代码如下:library ieee;use ieee.std_logic_1164.all;entity cb10 isport(clk: in std_logic;co:out std_logic);end cb10;architecture art of cb10 issignal counter:integer range 0 to 24999;beginprocess(clk)beginif (clk='1' and clk'event) thenif counter=12499 then counter<=0; else counter<=counter+1;end if;end if;end process;process(counter)beginif counter=24999 then co<='1';else co<='0';end if;end process;end art;2、十进制计数器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity TEN isport(clk,clr,en:in std_logic;Y:out std_logic_vector(3 downto 0);co:out std_logic);end entity TEN;architecture art of TEN issignal count10:std_logic_vector(3 downto 0); beginY<=count10;process(clk,clr,en)beginif clr='1' then count10<="0000";elsif (clk'event and clk='1')thenif (en='1')thenif count10="1001"then count10<="0000";co<='1'; else count10<=count10+'1'; co<='0';end if;end if;end if;end process;end art;3、六进制计数器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity count6 isPort (clr,start,clk:in std_logic;cout:out std_logic;daout:buffer std_logic_vector(3 downto 0));end count6;architecture behave of count6 isbeginprocess(clr,start,clk)beginif clr='1' then daout<="0000";elsif ( clk'event and clk='1') thenif start='1' thenif daout="0101" then daout<="0000";cout<='1';else daout<=daout+1;cout<='0';end if;end if;end if;end process;end behave;4.报警器代码:当记时到一小时时,报警器报警,并响十声。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity alarm1 isport(clk, I:in std_logic;q:out std_logic);end alarm1;ARCHITECTURE a OF alarm1 ISsignal n:integer range 0 TO 20;signal q0 :std_logic;beginprocess(clk)beginif(clk='1'and clk'event) thenif i='0' thenq0<='0';n<=0;elsif (n<=19 and i='1') then q0<=not q0;n<=n+1;elseq0<='0';end if;end if;end process;q<=q0;END a;5.数据选择和数码管选择模块代码:其功能是选择个计数端口来的数据,当相应的数据到来时数据选择器选择器数据后输出给数码管,并由数码管显示。
library ieee;use ieee.std_logic_1164.all;USE ieee.std_logic_UNSIGNED.all;entity seltime isport(clr,clk: in bit;dain0,dain1,dain2,dain3,dain4,dain5: instd_logic_vector(3 downto 0);sel: out std_logic_vector(2 downto 0);daout: out std_logic_vector(3 downto 0)); end seltime;architecture a of seltime issignal temp:integer range 0 to 5;beginprocess(clk)beginif (clr='1') thendaout<="0000";sel<="000";temp<=0;elsif (clk='1'and clk'event) thenif temp=5 then temp<=0;else temp<=temp + 1;end if;case temp iswhen 0=>sel<="000";daout<=dain0; when 1=>sel<="001";daout<=dain1; when 2=>sel<="010";daout<=dain2; when 3=>sel<="011";daout<=dain3; when 4=>sel<="100";daout<=dain4; when 5=>sel<="101";daout<=dain5; end case;end if;end process;end a;6.数码管驱动模块代码:数码管驱动电路,驱动数码管发光。
library ieee;use ieee.std_logic_1164.all;entity deled isport(num:in std_logic_vector(3 downto 0); led:out std_logic_vector(6 downto 0)); end deled ;architecture a of deled isbeginprocess(num)begincase num iswhen"0000"=>led<="0111111";-----------3FH when"0001"=>led<="0000110";-----------06H when"0010"=>led<="1011011";-----------5BH when"0011"=>led<="1001111";-----------4FH when"0100"=>led<="1100110";-----------66H when"0101"=>led<="1101101";-----------6DHwhen"0110"=>led<="1111101";-----------7DHwhen"0111"=>led<="0100111";-----------27H when"1000"=>led<="1111111";-----------7FHwhen"1001"=>led<="1101111";-----------6FHwhenothers=>led<="0000000";-----------00Hend case;end process;end a;七、实验连线A)输入接口1.秒表的归零,启动信号RESET、START的管脚分别连接按键开关。