DE课程设计实验总结报告
- 格式:doc
- 大小:216.61 KB
- 文档页数:7
第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。
2、具有清零、校时、校分功能。
3、具有整点蜂鸣器报时以及LED花样显示功能。
二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。
三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。
课程设计实训总结在课程设计实训中,我主要参与了一个小组项目,负责项目的前期准备和后期总结工作。
通过这次实训的经历,我学到了很多有关项目管理和团队合作的知识和技能。
下面是我的总结:首先,在项目的前期准备中,我学到了如何进行项目规划和需求分析。
我们小组的项目是一个网站的设计和开发,所以在开始项目之前,我们花了很多时间来了解用户需求和市场情况。
我们采用了访谈和问卷调查的方式来收集用户需求,并结合市场调研报告来分析市场情况。
这个过程中,我学会了如何进行有效的沟通和调研,并且学会了如何将用户需求转化为项目的具体功能和设计。
其次,在项目的执行过程中,我学到了如何进行项目管理和团队合作。
我们的小组有5个人,每个人有不同的技能和职责。
为了保证项目的顺利推进,我们制定了详细的项目计划,并分配了任务和时间节点。
每周我们会召开团队会议,汇报工作进展和解决问题。
这个过程中,我学会了如何进行团队协作,如何分工合作,如何解决团队中出现的问题。
最后,在项目的收尾阶段,我学到了如何进行项目总结和回顾。
项目结束后,我们召开了一次团队会议,对项目进行了总结和回顾。
我们分析了项目的成功因素和失败因素,并提出了改进方案。
这个过程中,我学会了如何对项目进行评估和反思,如何从失败中吸取教训,并且学会了如何为下一个项目做好准备。
通过这次实训,我不仅学到了具体的项目管理和团队合作技能,还提高了我的沟通能力和解决问题的能力。
我意识到在团队合作中,沟通是非常重要的,只有团队成员之间有良好的沟通,才能使项目顺利进行。
同时,我也意识到在项目中可能会遇到各种问题和挑战,只有不断学习和不断解决问题,才能提高自己的能力和水平。
总的来说,这次课程设计实训对我来说是一次非常有意义的经历。
通过实践,我不仅掌握了项目管理和团队合作的技能,也提高了自己的综合能力和职业素养。
我相信这些经验和知识将对我今后的学习和工作产生积极的影响。
pcb课程设计实验报告本次课程设计实验的内容是设计一块包含多个功能的PCB电路板,该电路板包含电源管理、信号放大、滤波和控制逻辑等多个模块。
本文将从电路板的设计思路、实验步骤、成果展示和问题与改进等方面进行阐述。
一、设计思路该电路板的设计需要考虑电源管理、信号放大、滤波和控制逻辑等多个方面,并且需要将这些模块有机地结合在一起,保证整个电路板的性能和可靠性。
在设计中,我们选用了TI的TINA软件进行仿真,并根据仿真的结果对电路进行了优化设计,最终得到了符合要求的电路原理图和PCB电路板布局图。
二、实验步骤1、电源管理模块设计:该模块主要包括两个先后级别的稳压电路和一个电压监测芯片。
先后级别的稳压电路用于将电源电压从12V降压到5V和3.3V,保证整个电路板的稳定工作。
电压监测芯片用于监测电池电压,在电压低于预设值时发出警报信号。
2、信号放大和滤波模块设计:该模块主要用于放大和滤波采集到的传感器信号。
我们选用了一款高精度可编程运放作为信号放大电路的核心部件,并在其前后分别添加了高通和低通滤波器,以保证信号的稳定性和精度。
3、控制逻辑模块设计:该模块主要用于控制整个电路板的工作,并且需要能够根据用户的输入产生相应的控制信号。
我们选用了一款基于STM32F0的微控制器,并在其周围添加了相应的外设电路,比如USB接口、LCD显示屏和按键输入等。
4、PCB电路板设计:在得到以上模块的原理图和电路板布局图后,我们对整个电路板进行了逐层布线和优化设计,并且通过3D模拟软件进行了可视化仿真。
最终,我们得到了一块符合要求的PCB电路板。
三、成果展示最终实验成果如下图所示:(此处插入图片)可以看到,整个电路板具有紧凑、结构合理、线路清晰等特点,并且每个模块都可以独立集成或拆卸。
在实际测试中,该电路板的各模块均能正常工作,达到了预期的效果和性能。
四、问题与改进在设计中,我们也遇到了一些问题,比如信号放大的误差问题、电源管理的功耗问题等。
模具课程设计总结【通用3篇】(经典版)编制人:__________________审核人:__________________审批人:__________________编制单位:__________________编制时间:____年____月____日序言下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。
文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!并且,本店铺为大家提供各种类型的经典范文,如工作总结、计划大全、策划方案、报告大全、心得体会、演讲致辞、条据文书、作文大全、教案资料、其他范文等等,想了解不同范文格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you!Moreover, this store provides various types of classic sample essays for everyone, such as work summaries, plan summaries, planning plans, report summaries, insights, speeches, written documents, essay summaries, lesson plan materials, and other sample essays. If you want to learn about different formats and writing methods of sample essays, please stay tuned!模具课程设计总结【通用3篇】对于模具设计,是指从事企业模具的数字化设计,包括型腔模与冷冲模,开展模具设计实训的时候,写一写实习心得,积累更多的知识。
化学教师实验方案总结报告范本摘要:本报告总结了本学期化学教师在实验方案设计与实施过程中的经验与教训。
通过实验方案的精心设计和有序实施,学生的学习兴趣得到激发,并提高了他们的实验操作技能和科学思维能力。
一、引言实验是化学教学中不可或缺的一环,它既能够巩固学生对理论知识的理解,又能培养他们的操作技能和科学精神。
为此,本学期,笔者在教学中注重实验方案设计的合理性和实施的高效性,旨在提高学生的实验学习效果。
二、实验方案设计1. 实验目标在每一个实验中,首先明确实验目标,明确要达到的学习效果。
目标应当具体、明确,并与学生的学习内容和学习进度相适应。
2. 实验步骤根据实验目标,设计合理的实验步骤。
实验步骤应当简明扼要,以确保学生能够理解并顺利完成实验。
在步骤设计中,应当注意合理安排实验器材的使用顺序,以提高实验效率。
3. 实验材料与仪器列出所需的实验材料与仪器清单。
在选择实验材料时,要确保其质量和纯度符合实验要求。
在选择仪器时,要确保其功能齐全,并做好维护保养工作。
4. 安全措施对每一个实验都要明确安全措施,并将其写入实验方案。
学生必须了解并严格遵守实验室的安全规定,确保实验过程的安全。
三、实施与结果分析1. 实验室管理在实验过程中,要加强对学生的实验室管理,确保实验室秩序井然、环境整洁。
同时,要引导学生养成良好的实验习惯,如正确佩戴实验室服装、定期保养实验器材等。
2. 实验操作在实验操作过程中,要注重指导学生正确使用实验器材,并讲解实验操作步骤。
同时,监督学生的操作过程,纠正他们的错误操作,并及时解答他们的问题。
3. 实验数据分析学生完成实验后,要对实验数据进行收集和分析。
指导学生运用所学的数据处理方法,进行数据的整理和图表的绘制,以提高他们的科学数据分析能力。
四、实验效果评估通过对学生实验报告的批改和实验结果的分析,评估实验效果。
对于学习成绩较好的学生,可以提供更高难度的实验项目,以进一步提高他们的实验能力。
实验报告总结范文本次实验是关于物理实验的报告,采用了实验装置进行实验研究。
通过实验的设计和数据的采集,我们对其中一种物理现象进行了探究,获得了一些有价值的结果和结论。
首先,在实验的前期,我们对实验装置进行了详细的了解和调试,确保实验的顺利进行。
实验装置的设计和搭建是基于相关的物理原理和实验需要的,通过调试和测试,确保了实验装置的准确性和可靠性。
在实验过程中,我们按照预先设计的实验方案进行了实验操作,准确地控制了各个实验参数。
通过对实验数据的采集和分析,我们得到了一系列实验结果。
这些实验结果与我们之前的假设和理论预测基本一致,证明了我们的实验方法和数据的可靠性。
在数据处理与分析方面,我们运用了多种数据处理和分析方法,对数据进行了整理和统计。
通过绘制图表和拟合曲线,我们对数据进行了深入的分析和解释。
这些数据处理的结果可以帮助我们更好地理解实验现象和相关的物理原理。
通过实验研究,我们得出了一系列有价值的结论。
这些结论对进一步的研究和应用有着一定的指导意义。
同时,我们也发现了实验中的一些问题和改进的方向,在实验报告中提出了一些建议和展望。
在实验过程中,我们也遇到了一些困难和问题。
一方面,实验装置的条件和操作要求较高,需要我们耐心和细心地进行实验操作。
另一方面,实验数据的准确性和可靠性需要我们进行多次实验和重复测量,确保结果的可靠性。
总之,通过本次实验,我们深入学习了其中一种物理现象和相关的实验方法,掌握了一系列实验技能和数据处理方法。
通过实验报告的撰写,我们对实验的目的和过程有了更深入的认识,提高了实验思维和科学素质。
希望在今后的学习中能够继续进行相关的实验研究,进一步丰富自己的实验技能和科学知识。
课程设计总结汇报
在过去的一段时间里,我有幸参与了一项课程设计的工作,现
在我想对这次经历进行总结和汇报。
首先,我要感谢整个团队的努力和合作。
在这个项目中,我们
每个人都发挥了自己的专业优势,共同完成了一项优质的课程设计。
团队合作的精神和紧密的配合是我们取得成功的关键。
其次,我要提到我们在课程设计过程中遇到的挑战和困难。
在
制定课程大纲和教学计划的过程中,我们面临着时间紧迫和资源不
足的问题。
但是,通过团队的努力和智慧,我们成功地克服了这些
困难,最终完成了一份令人满意的课程设计。
此外,我还要对我们的课程设计成果进行一些总结和分析。
我
们的课程设计不仅仅是简单地罗列知识点和教学方法,更重要的是
我们注重了学生的实际需求和学习兴趣。
我们设计了一系列生动有
趣的教学活动,使学生在轻松愉快的氛围中掌握知识,提高能力。
最后,我要对未来的工作进行展望和规划。
我们的课程设计虽
然已经完成,但是我们仍然需要不断地改进和完善。
我们将继续关
注学生的学习效果和反馈意见,不断调整和改进我们的课程设计,使之更加符合学生的需求和教学的实际情况。
总的来说,这次课程设计的经历让我收获颇丰。
我学会了团队合作、解决问题和提高自己的专业能力。
我相信这次经历将对我的未来工作产生积极的影响。
感谢大家的支持和合作,让我们一起期待更多的成功和成就!。
eda课程设计报告速度表设计一、课程目标知识目标:1. 学生能理解速度表的基本原理和设计理念,掌握速度的计算公式。
2. 学生能了解并运用电子设计自动化(EDA)软件进行速度表电路的设计与仿真。
3. 学生掌握速度表在实际应用中的相关技术参数和性能指标。
技能目标:1. 学生能够运用EDA软件进行电路图绘制,具备基本的电路设计能力。
2. 学生能够通过小组合作,进行速度表的设计、搭建与调试,培养实际操作能力。
3. 学生能够运用所学知识解决实际生活中的速度测量问题,提高创新能力。
情感态度价值观目标:1. 学生通过本课程的学习,培养对电子设计自动化技术的兴趣,激发创新精神。
2. 学生在小组合作中,培养团队协作意识,提高沟通与交流能力。
3. 学生能够认识到速度表在交通安全中的作用,增强社会责任感和遵守交通规则的意识。
本课程针对学生年级特点,注重理论与实践相结合,以EDA软件为工具,培养学生电子设计能力。
课程目标具体、可衡量,旨在使学生在掌握基本知识的同时,提高实际操作和创新能力,培养良好的团队协作意识和价值观。
二、教学内容1. 速度表原理及计算公式:讲解速度表的基本工作原理,引导学生掌握速度的计算公式,理解速度与时间、路程的关系。
2. EDA软件应用:介绍EDA软件的基本功能与操作方法,使学生能够运用软件进行电路设计与仿真。
3. 速度表电路设计:依据教材相关章节,指导学生进行速度表电路的绘制,包括传感器、放大器、显示电路等组成部分。
4. 电路搭建与调试:学生分组进行速度表的搭建和调试,掌握电路故障排查方法,提高实际操作能力。
5. 速度表在实际应用中的案例分析:分析速度表在交通安全、运动监测等领域的应用案例,让学生了解速度表的实际意义。
教学内容安排和进度:第一课时:速度表原理及计算公式第二课时:EDA软件应用与电路设计第三课时:速度表电路搭建与调试第四课时:案例分析及总结教学内容与课本紧密关联,注重科学性和系统性。
2024年实验教学小结范本一、引言2024年是我校实验教学改革的关键年份。
在过去的一年中,学校积极倡导创新实验教学的理念,努力探索创新实验教学方法和手段,以提高学生的实践动手能力和创新思维能力。
经过一年的努力,我们在实验教学方面取得了一些值得肯定和总结的成果和经验。
本文将对2024年的实验教学进行总结,希望对今后的实验教学改革提供一些参考。
二、教学目标的实现情况1.提高实践能力在2024年的实验教学中,我们注重培养学生的实践动手能力。
通过设计具有挑战性和创新性的实验任务,学生需要亲自动手去实施和完成。
通过这种方式,学生能够在实践中培养自己的动手能力,并逐渐掌握实验中所需要的技术和操作方法。
在实验中,学生还需要运用所学理论知识进行问题分析和解决,进一步提高了他们的实践能力。
2.拓宽知识面除了提高实践能力外,我们还注重开拓学生的知识面。
在实验教学中,我们尽量选择一些涉及多个学科或跨学科的实验,让学生在实践中能够接触到更广泛的知识。
这种方式不仅能够增强学生对所学知识的理解和记忆,还能够培养学生的跨学科思维能力,提高他们解决复杂问题的能力。
3.培养创新思维实验教学旨在激发学生的创新思维能力。
在2024年的实验教学中,我们注重培养学生的实验设计和创新能力。
通过给予学生一定的自由度和探索空间,鼓励他们进行创新实验设计。
学生需要在实验中自主选择实验的内容和方法,并进行实践和验证。
在这个过程中,学生能够锻炼自己的创新思维,培养自己的实验设计和解决问题的能力。
三、教学方法和手段的创新1.引入数字化技术在2024年的实验教学中,我们积极引入数字化技术,通过在实验中使用虚拟实验软件、数据采集和分析软件等,提供更真实、直观、全面的实验体验。
这种方式不仅能够激发学生的兴趣,还能够提高实验教学的效率,使学生更加深入地了解实验的原理和方法。
2.开展团队合作实验在2024年的实验教学中,我们大力推进团队合作实验。
通过将学生分组进行实验,鼓励学生之间的合作和互助。
课程设计心得体会【优秀7篇】课程设计心得体会篇一两个星期的时间非常快就过去了,这两个星期不敢说自己有多大的进步,获得了多少知识,但起码是了解了项目开发的部分过程。
虽说上过数据库上过管理信息系统等相关的课程,但是没有亲身经历过相关的设计工作细节。
这次实习证实提供了一个很好的机会。
通过这次课程设计发现这其中需要的很多知识我们没有接触过,去图书馆查资料的时候发现我们前边所学到的仅仅是皮毛,还有很多需要我们掌握的东西我们根本不知道。
同时也发现有很多已经学过的东西我们没有理解到位,不能灵活运用于实际,不能很好的用来解决问题,这就需要我们不断的大量的实践,通过不断的自学,不断地发现问题,思考问题,进而解决问题。
在这个过程中我们将深刻理解所学知识,同时也可以学到不少很实用的东西。
从各种文档的阅读到开始的需求分析、概念结构设计、逻辑结构设计、物理结构设计。
亲身体验了一回系统的设计开发过程。
很多东西书上写的很清楚,貌似看着也很简单,思路非常清晰。
但真正需要自己想办法去设计一个系统的时候才发现其中的难度。
经常做到后面突然就发现自己一开始的设计有问题,然后又回去翻工,在各种反复中不断完善自己的想法。
我想有这样的问题不止我一个,事后想想是一开始着手做的时候下手过于轻快,或者说是根本不了解自己要做的这个系统是给谁用的。
因为没有事先做过仔细的用户调查,不知道整个业务的流程,也不知道用户需要什么功能就忙着开发,这是作为设计开发人员需要特别警惕避免的,不然会给后来的工作带来很大的麻烦,甚至可能会需要全盘推倒重来。
所以以后的课程设计要特别注意这一块的设计。
按照要求,我们做的是机票预订系统。
说实话,我对这个是一无所知的,没有订过机票,也不知道航空公司是怎么一个流程。
盲目开始设计的下场我已经尝过了,结果就是出来一个四不像的设计方案,没有什么实际用处。
没有前期的调查,仅从指导书上那几条要求着手是不够的。
在需求分析过程中,我们通过上网查资料,去图书馆查阅相关资料,结合我们的生活经验,根据可行性研究的结果和客户的要求,分析现有情况及问题,采用client/server结构,将机票预定系统划分为两个子系统:客户端子系统,服务器端子系统。
摘要:
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命。
因此得到了更加广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑和时序电路。
在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数,可以实现数字电子时钟功能,仿电台整点报时功能,定时闹钟功能,时间重置功能,星期的显示及调整这四项基本功能。
数字钟的设计方法主要是基于Quartus II软件进行逻辑电路的设计并借助于可编程逻辑器件进行硬件实现,最终本设计实现24小时的时钟计时、仿电台整点报时功能,定时闹钟功能,时间重置功能、并创新性的加入了星期的显示及设定。
关键词:CPLD Quartus II 时钟时序电路逻辑电路
1.系统原理框图
对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
下图所示为数字钟的一般构成框图。
图中,秒脉冲发生器产生秒脉冲,作为图中各个计数器的脉冲信号。
分、秒计数器要求设计为六十进制计数器;时计数器则设计为二十四进制计数器。
时、分、秒的计时结果分别送入译码器中,经译码器翻译后,共由六个LED数码管显示出结果。
因此,使用计数器作为计时电路是可以实现的。
本次试验采用的是74LS163计数器。
(1)石英晶体振荡器电路:石英晶体振荡器电路给数字钟提供一个频率稳
定的32768Hz 的方波信号,可保证数字钟的走时准确及稳定。
(2)分频器电路:分频器电路将32768HZ 的高频方波信号经分频后得到1Hz
的方波信号供秒计数器进行计数。
分频器实际上也就是计数器。
(3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和
分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器,而根据设计要求,时个位和时十位计数器为24 进制计数器。
(4)译码驱动电路:译码驱动电路将计数器输出的8421BCD 码转换为数码
管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(5)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整
点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。
图中,秒脉冲发生器产生秒脉冲,作为图中各个计数器的脉冲信号。
分、秒计数器要求设计为六十进制计数器;时计数器则设计为二十四进制计数器。
时、分、秒的计时结果分别送入译码器中,经译码器翻译后,共由六个LED数码管显示出结果。
因此,使用计数器作为计时电路是可以实现的。
本次试验采用的是74LS163计数器。
3.方案设计与论证
3.1 时间脉冲产生电路
振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时
的准确程度,通常选用石英晶体构成振荡器电路。
石英晶体振荡器的作用是产生时间标准信号。
因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。
石英晶体振荡电路:采用的32768 晶体振荡电路,其频率为32768Hz,然后再经过15 分频电路可得到标准的1Hz 的脉冲输出.R 的阻值,对于TTL 门电路通常在0.7~2KΩ之间;对于CMOS 门则常在10~100MΩ之间。
3.2 时间计数器电路
计数器是一种计算输入脉冲的时序逻辑网络,被计数的输入信号就是时序网
络的时钟脉冲,它不仅可以计数而且还可以用来完成其他特定的逻辑功能,如测量、定时控制、数字运算等等。
数字钟的计数电路是用两个六十进制计数电路和一个24 进制计数电路实现
的。
数字钟的计数电路的设计可以用反馈清零法。
当计数器正常计数时,反馈门不起作用,只有当进位脉冲到来时,反馈信号将计数电路清零,实现相应模的循环计数。
以六十进制为例,当计数器从00,01,02,……,59 计数时,反馈门不起作用,只有当第60 个秒脉冲到来时,反馈信号随即将计数电路清零,实现模为60 的循环计数。
3.2.1 60 进制计数器
十进制计数器 74LS90
74LS90 是二—五—十进制计数器,它有两个时钟输入端CKA 和CKB。
其中,CKA 和Q0组成一位二进制计数器;CKB 和Q3Q2Q1组成五进制计数器;若将Q0与CKB 相连接,时钟脉冲从CP A输入,则构成了8421BCD 码十进制计数器。
74LS90 有两个清零端R0(1)、R0(2),两个置9 端R9(1)和R9(2),其BCD 码十进
制计数时序如表1,二—五混合进制计数时序如表2,74LS90 的管脚图如下图。
仿真如下图:
3.2.2
24 进制计数器
设计方法与60 进制计数器相同,采用2 片74LS90 即可以实现要求,基本电路如图所
3.3.译码及驱动显示单元电路
译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,
变成相应的数字。
用于驱动LED 七段数码管的译码器常用的有74LS48。
74LS48 是BCD-7 段译码器/驱动器,其输出是OC 门输出且低电平有效,专用于驱动LED 七段显示数码管。
若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。
以下为74LS48 和七段显示数码管图示:
3.4.校时电路
通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。
根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中,并加入了0.01uf 的电容来防抖动。
即如图所示:
3.5. 完整电路
电路的工作原理:就是由脉冲发生器产生一个1kHz 的方波脉冲,经过分频器分频成为1Hz 的脉冲,送入计数器计数,计数器由一个24 进制计数器和2 个60 进制计数器组成。
定时控制电路和整点报时电路的输入信号都由计数器所产生的计数脉冲决定。
4.仿真结果及分析
4.1 时钟仿真结果。