数字系统的设计例子
- 格式:ppt
- 大小:408.00 KB
- 文档页数:77
2019.051概述气压计是利用压敏材料将气压变化转化成易于检测和传输的电信号,然后对电信号进行滤波、放大、通过后续电路处理,并将数据显示出来的一种测量工具。
其在观察压力变化、压力大小测量,以及对其他物理量测量等方面都有十分重要的作用。
传统的水银气压计占用空间较大、气压数据不能直接显示、灵敏度低、易损坏,测量结果受环境影响较大。
随着mems 技术和智能芯片技术的发展,气压计正朝着智能化、无线化、微型化的方向发展。
数字压力传感器的应用领域涵盖了医疗卫生、航空航天、户外作业、工矿企业等,并在人们的日常生活中也很常见,如手机、平板、手环等电子设备大部分都安装了数字气压计,给人们的生活带来了极大的便利。
2系统硬件2.1硬件总体框图本设计是基于MPX4115的数字气压计,硬件处理电路为大气压传感器模拟信号的采集、转换、处理和显示,并根据相应的软件需求设计控制程序。
气压计的硬件主要由4部分组成,分别为单片机最小系统、气压信号采集电路、ADC 转换电路和数码管显示电路。
2.2气压数据采集电路数据采集器件采用压力传感器MPX4115,其类型是硅压力传感器。
这种传感器在制造时引入了先进的微电机技术,薄膜镀金属。
工作温度范围是0℃-85℃,在此温度范围内误差不超过1.5%。
2.3气压信号转换电路ADC0832是常用的A/D 转换芯片,8位分辨率,转换时间短,是模拟量转换电路中常用的器件之一。
在本系统中,单片机所需的数字量信号是由气压传感器采集,然后交给ADC0832进行模数转换,并将转换结果传给单片机进行处理。
常用电路中,单片机与ADC0832之间采用4线制进行连接,ADC0832端的4个引脚依次采用DO、DI、CS、CLK。
但在通信过程中,单片机与ADC0832之间是单工通信,DO 引脚与DI 引脚并不需要同时使用,所以本系统中将DO 引脚和DI 引脚并联在一起进行分时使用。
CS 引脚输入高电平时,芯片禁用,ADC0832不能工作,此时其他引脚CLK、DO、DI 电平状态可任意设置。
实验二:时序电路设计一.实验目的熟悉QuartusⅡ的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。
二.实验任务任务1:设计触发器,给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。
任务2:设计锁存器,同样给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。
三.实验过程1.新建一个文件夹,取名second。
2.输入源程序。
3.文件存盘,文件名为second,扩展名为.vhd。
4.创建工程,按照老师要求对软件进行设置。
5.进行失序仿真,得到仿真图形。
四.实验程序任务1:library ieee;use ieee.std_logic_1164.all;entity second isport(clk,d:in std_logic;q:out std_logic);end;architecture bhv of second issignal q1:std_logic;beginprocess(clk,q1)beginif clk'event and clk ='1'then q1<=d;end if;end process;q<=q1;end bhv;任务2:library ieee;use ieee.std_logic_1164.all;entity suocun isport (clk,d:in std_logic; q:out std_logic);end;architecture bhv of suocun isbeginprocess (clk,d)beginif clk='1'then q<=d;end if;end process;end;五.实验结果任务1:任务2:。
基于深度学习的手写数字识别系统设计毕业设计基于深度学习的手写数字识别系统设计一、引言在信息时代的今天,数字识别技术在各个领域都有广泛的应用,尤其是在金融、安防、物流等行业中,数字识别系统扮演着重要的角色。
然而,传统的手写数字识别方法在复杂场景下往往效果不佳。
为了提高数字识别的准确性和稳定性,本毕业设计将基于深度学习技术设计一个手写数字识别系统。
二、系统架构手写数字识别系统主要由以下几个模块组成:数据集准备、特征提取、模型训练和模型评估。
下面将对每个模块进行详细介绍。
2.1 数据集准备为了构建一个准确的手写数字识别系统,我们需要一个包含大量手写数字样本的数据集。
本设计将使用MNIST数据集,该数据集包含60000个训练样本和10000个测试样本,每个样本为28x28像素的灰度图像。
2.2 特征提取在深度学习中,卷积神经网络(Convolutional Neural Network,CNN)是一种有效的特征提取方法。
本设计将使用一个经典的CNN架构,包括卷积层、池化层和全连接层。
卷积层用于提取图像的局部特征,池化层用于降低特征的维度,全连接层用于将提取到的特征与标签进行映射。
2.3 模型训练在特征提取模块构建完成后,我们需要对模型进行训练。
本设计将使用反向传播算法(Backpropagation,BP)来更新模型的参数,以减小模型的预测误差。
同时,为了避免过拟合问题,我们将采用Batch Normalization和Dropout等技术进行模型的正则化。
2.4 模型评估为了评估手写数字识别系统的性能,我们将使用测试集对模型进行评估。
评估指标包括准确率、精确率、召回率和F1值等。
准确率指模型正确预测样本的比例,精确率指模型正确预测为正样本的比例,召回率指模型正确预测出正样本的比例,F1值综合考虑了精确率和召回率。
三、实验与结果为了验证基于深度学习的手写数字识别系统的效果,我们使用Python编程语言和TensorFlow深度学习框架进行实验。
数字图书馆系统的设计与实现第一章概述数字图书馆是一种基于计算机和网络技术的文献资源整合和服务系统,其目的在于提供高效、便捷、安全的文献资源管理和利用方式。
数字图书馆系统是数字图书馆的核心组成部分,必须具备良好的设计和实现。
数字图书馆系统的设计与实现主要包含以下方面:1.需求分析;2.系统设计;3.系统实现;4.系统测试。
第二章需求分析需求分析是数字图书馆系统设计的首要步骤,主要包括以下内容:1.用户需求分析:通过对用户需求的调查和分析,确定数字图书馆系统的各项功能和特点;2.文献资源需求分析:通过对文献资源的评估和分析,确定数字图书馆系统的数据源和组织方式;3.系统性能需求分析:通过对数字图书馆系统的预期用户数量、系统请求量和数据处理能力等方面的评估和分析,确定数字图书馆系统的性能指标。
第三章系统设计数字图书馆系统设计主要包括以下方面:1.系统架构设计:包括数字图书馆系统的总体架构设计、核心模块和子系统设计等;2.系统功能设计:包括数字图书馆系统的各项功能设计、功能模块划分和功能实现流程等;3.数据库设计:包括数字图书馆系统的数据源设计、数据管理和数据查询方式等;4.系统安全设计:包括数字图书馆系统的用户认证和授权、安全日志和数据传输安全等方面的设计。
第四章系统实现数字图书馆系统实现主要包括以下步骤:1.开发环境配置:包括开发工具、运行环境、版本控制等;2.编码实现:根据系统设计方案,采用编程技术和数据库技术进行数字图书馆系统的实现;3.系统测试:包括功能测试、性能测试和安全测试等方面的测试;4.系统维护:包括系统升级、数据备份和恢复、用户反馈和维护等。
第五章总结数字图书馆系统的设计和实现需要综合考虑用户需求、文献资源和系统性能等方面,采用科学有效的方法进行系统设计和实现,从而提供高效、便捷、安全的服务。
数字图书馆系统的设计和实现是一项长期的工作,需要不断地进行更新升级和维护,以满足不断变化的用户需求和技术要求。
数字通信系统的设计与仿真摘要:数字通信系统是数字传输的过程,模拟信号到达接收端必须先将模拟信号转换成数字信号,数字信号在信道中传输会有损耗,因此合理的采用信道的编/译码和调制、解调是十分重要的,本实验采用systemview 进行仿真.关键字:眼图、误码率、调制、解调.1数字通信系统模型与原理1.1数字通信系统模型数字通信系统是利用数字信号来传递信息的通信系统,如图1所示.图1数字通信系统模型1.1.1 信源编码与译码信源编码有两个基本功能:一是提高信息传输的有效性,即通过某种数据压缩技术设计减少码元数目和降低码元速率.二是完成模/数(A/D)转换,即当信息源给出的是模拟信号时,信源编码器将其转换成数字信号,以实现模拟信号的数字化传输.信源译码是信源编码的逆过程.1.1.2 信道编码与译码信道编码的目的是增强数字信号的抗干扰能力.数字信号在信道传输时受到噪声等影响后将会引起差错.为了减少差错,信道编码器对传输的信息码元按一定的规则加入保护成分,组成所谓“抗干扰编码”.接收端的信道译码器按相应的规则进行解码,从中发现错误或纠正错误,提高通信系统的可靠性.1.1.3 加密与解密在需要实现保密通信的场合,为了保证所穿信息的安全,认为地将被传输的数字序列扰乱,即加上密码,这种处理过程叫加密.在接收端利用与发送端相同的密码复制品对收到的数字序列进行解密,恢复原来信息.1.1.4 数字调制与解调数字调制就是把数字基带信号的频谱搬移到高频处,形成适合在信道中传输的带通信号.基带的数字调制方式有振幅键控(ASK)、频移键控(FSK)、绝对相移键控、相对相移键控(DPSK).在接收端可以采用相干解调或非相干解调还原数字基带信号.对高斯噪声下的信号检测,一般用相关器或匹配滤波器来实现.1.1.5 同步同步是使收发两端的信号在时间上保持步调一致,是保证数字通信系统有序、准确、可靠工作的前提条件.按照同步的公用不同,分为载波同步、位同步、群同步和网同步.数字通信的主要特点(1) 抗干扰能力强,尤其是数字信号通过中继再生后可消除噪声积累(2) 数字信号通过差错控制编码,可提高通信的可靠性.(3) 由于数字通信传输一般采用二进制码,所以可使用计算机对数字信号进行处理,实现复杂的远距离大规模自动控制系统和自动数据处理系统,实现以计算机为中心的通信网.(4) 在数字通信中,各种消息(模拟的和离散的)都可变成统一的数字信号进行传输.在系统对数字信号传输情况的监视信号、控制信号及业务信号都可采用数字信号.数字传输和数字交换技术结合起来组成的ISDN 对于来自不同信源的信号自动地进行变换、综合、传输、处理、存储和分离,实现各种综合业务.(5) 数字信号易于加密处理,所以数字通信保密性强.数字通信的缺点是比模拟信号占带宽,然而,由于毫米波和光纤通信的出现,带宽已不成问题.2 系统的设计过程为了使数字信号在带通信道中传输,必须使用数字基带信号对载波进行调制,以使信号与信道的特性相匹配.这种用数字基带信号控制载波,把数字基带信号变换为数字带同信号的过程称为数字调制.在接收端通过解调器把带通信号还原成数字基带信号的过程称为数字解调.通常把包括调制和解调过程的数字传输系统叫做数字带通传输系统.一般来说,数字调制与模拟调制技术有的方法:把数字基带信号当作模拟信号的特殊情况处理;是利用数字信号的离散取值特点通过开关键控载波,2.1 信源编码模拟信号转换成数字信号包括三个步骤:抽样,量化,编码.(1) 抽样:把模拟信号在时间上离散化,变换为模拟抽样信号.(2) 量化:将抽样信号在幅度上离散化,变换成量化信号.(3) 编码:用二进制码元来表示有限的量化电平.抽样定理指出:设一个连续模拟信号m(t)中的最高频率〈f h ,则以间隔时间T〈1/2f h的周期性冲激脉冲对它抽样时,m(t)将被这些抽样值所完全确定.由于抽样时间间隔相等,所以此定理又称均匀抽样定理.例如模拟信号的最高频率为10hz,则采样频率为30hz.2.2 信道格雷码的编/译码数字信号在传输过程中,由于受到干扰的影响,码元波形将变坏,,接收端收到后可能发生错误判决,故采用GRAY编\译码方式来进行差错控制. 格雷码的编码和译码设备都不太复杂,而且检错的能力较强.格雷码除了具有线性码的一般性质外,还具有循环性.循环性是指任一码组循环一位(即将最右端的一个码元移至左端,或反之)后,仍为该码中的一个码组.2.3 2FSK信号的调制与非相干解调2.3.1 调制原理键控法:在二进制基带矩形脉冲序列的控制下通过开关电路对两个不同的独立频率进行选通,使其在每一个码元T s 期间输出 f1或f0两个载波之一, 图2所示.键控法产生的2FSK信号,是由于电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续. 2FSK信号可以看成两个ASK的相加,图3所示.图2 键控法产生2FSK 信号的原理图图3 相位连续的2FSK 信号波形2.3.2 2FSK 信号的非相干解调2FSK 的非相干解调:其原理是将2FSK 信号分解为上下两路2ASK 信号分别进行解调,然后进行判决.这里的抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限.判决规则应与调制规则相呼应,调制时若规定“1”符号对应载波频率w 1,则接收时上支路的样值较大,应判为“1”;反之则判为“0”.2FSK 信号的非相干解调方框图如图4所示,其可视为由两路2ASK 解调电路组成.这里,两个带通滤波器(带宽相同,皆为相应的2ASk 信号带宽;中心频率不同,分别为w 1、w 2 起分路作用,用以分开两路2ASK 信号. 振荡器f 1选通开关 反相器 想加器 振荡器f 2 选通开关基带信号 2FSK 信号图4 2FSK信号非相干解调方框图2.4 模拟FIR滤波器的设计通过选择菜单上的”Filter/Analog”按扭,可以设计五种模拟滤波器.它们是:巴特沃斯,巴赛尔,切比契夫,椭圆,线性相位.这些滤波器可以是低通、高通或带通,所选滤波器的一般形状由滤波器的类型决定,需要输入的数据是滤波器的极点数、-3db带通或截止频率、相位纹波系数、增益等参数,按”finish”完成设计.低通滤波器:去掉信号中不必要的高频成分,降低采样频率,避免频率混淆,去掉高频干扰.带通滤波器:高通滤波器同低通滤波器的组合.对滤波器而言,所有频率都应是采样速率的分数,即相对的百分比系数.例如,系统的采样速率为1MHZ,所涉及的FIR低通滤波器的截止频率为50KH Z,则滤波器涉及窗口输入的截止频率为0.05(50KH Z/1MH Z),如果在滤波器前面连接的是抽样器或采样器的图符,则这些图符的频率也必须是滤波器采样速率的分数. 2.5 眼图分析眼图是指利用实验的方法估计和改善(通过调整)传输系统性能时在示波器上观察到的一种图形.观察眼图的方法是:用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形像人的眼睛,故称为“眼图”.从“眼图”上可以观察出码间串扰和噪声的影响,从而估计系统优劣程度.另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能.眼图的“眼睛” 张开的大小反映着码间串扰的强弱.“眼睛”张的越大,且眼图越端正,表示码间串扰越小;反之表示码间串扰越大当存在噪声时,噪声将叠加在信号上,观察到的眼图的线迹会变得模糊不清.若同时存在码间串扰,“眼睛”将张开得更小.与无码间串扰时的眼图相比,原来清晰端正的细线迹,变成了比较模糊的带状线,而且不很端正.噪声越大,线迹越宽,越模糊;码间串扰越大,眼图越不端正.眼图对于展示数字信号传输系统的性能提供了很多有用的信息:可以从中看出码间串扰的大小和噪声的强弱,有助于直观地了解码间串扰和噪声的影响,评价一个基带系统的性能优劣;可以指示接收滤波器的调整,以减小码间串扰.(1) 最佳抽样时刻应在“眼睛” 张开最大的时刻.(2) 对定时误差的灵敏度可由眼图斜边的斜率决定.斜率越大,对定时误差就越灵敏. 在抽样.(3) 时刻上,眼图上下两分支阴影区的垂直高度,表示最大信号畸变.眼图中央的横轴位置应对应判决门限电平.(4) 在抽样时刻上,上下两分支离门限最近的一根线迹至门限的距离表示各相应电平的噪声容限,噪声瞬时值超过它就可能发生错误判决.(5) 对于利用信号过零点取平均来得到定时信息的接收系统,眼图倾斜分支与横轴相交的区域的大小,表示零点位置的变动范围,这个变动范围的大小对提取定时信息有重要的影响.2.6 误码率分析对于二进制双极性信号,假设它在抽样时刻的点平取值为+A或-A(分别对应信码“1或“0”),在-A 和+A之间选择一个适当的电平V d作为判决门限,根据判决准则将会出现以下几种情况:(1) 对“1”码:当X>V d,判为“1”码(正确);当X<V d,判为“0”码(错误).(2) 对“0”码:当X<V d,判为“0”码(正确);当X>V d,判为“1”码(错误).假设信源发送“1”码的概率为P(1),发送“0”码的概率为P(0),则二进制基带传输系统的总误码率Pe= P(1) P(0/1)+ P(0) P(1/0) 其中P(0/1)= P(X<V d),P(1/0) = P(X>V d)3参数的设定(1)模拟信源:正弦函数,频率fs=10hz,幅度A=1V;。
数字化工厂系统的设计与实现数字化工厂系统是指将传统工厂生产流程数字化,实现整个生产过程自动化、智能化、信息化、网络化的系统。
通过数字化工厂系统的设计与实现,可以提高生产效率、降低成本、加强生产质量控制、缩短产品研发周期等。
一、数字化工厂系统的架构设计数字化工厂系统的架构设计包括以下几个方面:1、物理层:包括传感器、执行器、控制器、通信线路等,用于实现对生产现场的实时监测、操作和控制。
2、控制层:包括PLC、DCS等控制器、工业计算机等,用于实现生产过程控制、参数调节、设备运行状态监控等。
3、网络层:包括局域网、互联网等,用于实现生产信息数据共享、远程操作、数据备份及云计算等服务。
4、应用层:包括MES系统、ERP系统、SCADA系统等,用于实现生产计划调度、工艺管理、生产过程监控、品质追踪等业务管理。
数字化工厂系统的架构设计需根据企业实际需求进行设计,确保系统各功能层次之间协调顺畅,系统稳定、高效、可靠。
二、数字化工厂系统实现的关键技术实现数字化工厂系统的过程涉及到多种技术,下面列举几个关键技术:1、数据采集技术:基于传感器等设备采集数据,并通过网络传输至上层设备。
对于大量数据采集场景,需要对传感器进行网络化配置,并使用专业的数据采集装置进行数据的采集和传输。
2、控制技术:包括PLC和SCADA系统等,用于实现生产过程控制和设备控制。
同时,控制技术也需要兼顾设备的保护和自诊断等功能。
3、云计算技术:云计算技术可以将数字化工厂系统的数据上传到云端,对数据进行分析和处理,开展数据挖掘,构建工厂模型,实现综合分析。
云计算技术可以简化系统架构,优化数据存储方案,提高系统的安全性和可维护性。
4、人工智能技术:人工智能技术可以通过机器学习、自然语言处理等技术将数据进行深度分析,并在此基础上实现预测、诊断、优化等功能。
例如,根据生产过程的数据,可以通过时间序列分析和大数据分析构建预测模型,提前预测设备故障和生产问题,实现生产线的智能化。
计算机科学与技术学院数字系统设计实验报告姓名:学号:专业:班级:指导教师:2011年11月徐州实验4-1 组合电路的设计实验目的:熟悉Quartus 2的 VHDL 文本设计流程全过程,学习简单组合电路的设计,多层次电路的设计、仿真和硬件测试。
实验内容:1.首先利用Quartus 2 完成2选1 多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。
最后在实验系统上进行硬件测试,验证本项设计的功能。
2.将此多路选择器看成是一个元件mux21a,利用元件例化语句描述,并将此文件放在同一目录中。
任务一:1.程序代码ENTITY mux21a ISPORT (a,b,s:IN BIT;y:OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS(a,b,s)BEGINIF s='0' THEN y<=a;ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;2.编译3.时序仿真4.观察RTL电路任务二:1.程序代码entity MUXK ISPORT (a1,a2,a3,s1,s0: in bit;outy:out bit);end entity MUXK;ARCHITECTURE one of MUXK ISCOMPONENT mux21aport (a,b,s:in bit;y:out bit);end component;signal tmp: bit;beginu1:mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp);u2:mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy);end architecture one;2.编译3.波形图4.观察RTL电路实验体会:通过这次实验,对vhdl文本设计流程有了初步的了解,对组合电路的原理和构成有了更深的认识。
数字系统设计实验报告1. 引言数字系统设计是计算机科学与工程中的重要领域之一。
本实验旨在通过设计一个基本的数字系统,深入理解数字系统的原理和设计过程。
本文将按照以下步骤详细介绍实验的设计和实施。
2. 实验目标本实验旨在设计一个简单的数字系统,包括输入、处理和输出三个模块。
具体目标如下: - 设计一个输入模块,用于接收用户的输入数据。
- 设计一个处理模块,对输入数据进行特定的处理。
- 设计一个输出模块,将处理结果展示给用户。
3. 实验设计3.1 输入模块设计输入模块主要用于接收用户的输入数据,并将其传递给处理模块进行处理。
在本实验中,我们选择使用键盘作为输入设备。
具体设计步骤如下: 1. 初始化输入设备,确保能够正确接收用户输入。
2. 设计输入缓冲区,用于存储用户输入的数据。
3. 实现输入函数,将用户输入的数据存储到输入缓冲区中。
3.2 处理模块设计处理模块是数字系统的核心部分,负责对输入数据进行特定的处理。
在本实验中,我们选择设计一个简单的加法器作为处理模块。
具体设计步骤如下: 1. 定义输入数据的格式和表示方法。
2. 实现加法器的逻辑电路,可以通过使用逻辑门和触发器等基本组件来完成。
3. 设计加法器的控制电路,用于控制加法器的运算过程。
4. 验证加法器的正确性,可以通过给定一些输入数据进行测试。
3.3 输出模块设计输出模块用于将处理结果展示给用户。
在本实验中,我们选择使用显示器作为输出设备。
具体设计步骤如下: 1. 初始化输出设备,确保能够正确显示处理结果。
2. 设计输出缓冲区,用于存储待显示的数据。
3. 实现输出函数,将输出数据从输出缓冲区中传输到显示器上。
4. 实验实施4.1 输入模块实施根据3.1节中的设计步骤,我们首先初始化输入设备,然后设计输入缓冲区,并实现相应的输入函数。
4.2 处理模块实施根据3.2节中的设计步骤,我们定义输入数据的格式和表示方法,然后实现加法器的逻辑电路和控制电路。
东南大学自动化学院《数字系统课程设计》专业综合设计报告姓名:学号:专业:自动化实验室:电工电子四楼组别:无同组人员:无设计时间:2012年8 月8日—- 2010 年9 月15 日评定成绩:审阅教师:目录一.课程设计的目的与要求(含设计指标)……………………………………………3页码二.原理设计(或基本原理)……………………………………………………………3页码三。
架构设计(架构设计)………………………………………………………………4页码四。
方案实现与测试(或调试)…………………………………………………………5页码五.分析与总结……………………………………………………………………………15页码一。
课程设计的目的与要求(含设计指标)主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。
红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。
主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。
具体要求如下:(1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。
(2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。
主干道最短通车时间为25s 。
(3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。
(4)不论主干道情况如何,乡村公路通车最长时间为16s。
(5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。
(6)用开关代替传感器作为检测车辆是否到来的信号。
用红、绿、黄三种颜色的发光二极管作交通灯。
要求显示时间,倒计时二。
原理设计(或基本原理)本设计用了Verilog HDL语言, TOP—DOWN设计,设计方法从系统设计入手,在顶层进行功能方框图的划分和结构设计。
具体过程如下:该系统中输入变量有:set(使能开关),c(乡村道路开关), clk(系统时钟),该控制系统打开后共有两种状态: 一种是只有主干道交通灯亮,这种情况比较简单,此时主干道绿灯一直亮着。
教材:《VHDL硬件描述语言与数字逻辑电路设计》候伯亨 顾新西安电子科技大学参考书:《EDA与数字系统设计》李国丽等机械工业出版社一、多功能数字钟的设计1、数字系统设计问题设计一个能进行时、分、秒计时的12h制或24h制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对时、分和秒进行手动调节,以校准时间,每逢整点.产生报时音报时。
其系统框图如图1-1所示。
1-1数字钟的系统框图2、设计提示此设计问题可分为主控电路、计数器模块和扫描显示三大部分,其中计数器部分的设计是已经非常熟悉的问题,只要掌握六十进制、十二进制的计数规律,用同步计数或异步计数都可以实现,扫描显示模块也已经介绍过,所以主控电路中各种特殊功能的实现是这个设计问题的关键。
用两个电平信号A、B进行模式选择,其中,AB=00为模式0,系统为计时状态;AB =01为模式1,系统为手动校时状态;AB=10为模式2,系统为闹钟设置状态。
设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分部分;当turn=1 时,表示在手动校对时,选择调整时部分。
设置一个change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。
设置一个reset信号,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。
设置一个关闭闹钟信号reset1,当reset1=0时,关闭闹铃信号:reset1=1可对闹铃进行设置。
设置状态显示信号(发光二极管):LD_alert指示是否设置了闹铃功能;LD_h指示当前调整的是时信号;LD_m指示当前调整的是分信号。
当闹铃功能设置后(LD_atert=1,系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。
整点报时由分和秒计时同时为0〔或60)启动,与闹铃声共用一个扬声器驱动信号out。
系统计时时钟为clk=1Hz,选择另—个时钟clk_1k=1024Hz作为产生闹铃声、报时音的时钟信号。