Quartus集成环境实验操作指南
- 格式:pdf
- 大小:2.56 MB
- 文档页数:32
第2章_Quartus_II开发环境的使用Quartus II是一款由英特尔公司开发的集成电路设计软件,广泛应用于数字电路设计和可编程逻辑设备(PLD)的开发环境。
本章将介绍Quartus II开发环境的使用方法,并详细讲解其主要功能和操作流程。
首先,打开Quartus II软件,点击“文件”菜单,然后选择“新建项目”选项。
在出现的对话框中,输入项目名称和存储位置,并选择FPGA芯片型号。
点击“下一步”按钮,然后选择项目类型(一般为“普通VHDL项目”或“普通Verilog项目”),点击“下一步”按钮即可完成项目创建。
接下来,我们需要向项目中添加源文件。
点击“项目”菜单,然后选择“添加文件”选项。
在出现的对话框中,选择需要添加的源文件,点击“确定”按钮。
如果项目中有多个源文件,可以重复操作多次。
在项目中添加源文件后,我们需要进行编译。
点击“编译”菜单,然后选择“编译项目”选项。
Quartus II将对项目中的源文件进行语法检查和综合,并生成目标设备可执行文件。
接下来,我们需要进行功能仿真。
点击“工具”菜单,然后选择“RTL仿真器”选项。
在出现的对话框中,选择仿真源文件和仿真时长,点击“确定”按钮。
Quartus II将对源文件进行仿真,并生成波形图。
波形图生成后,我们可以对设计进行优化。
点击“工具”菜单,然后选择“组合逻辑优化器”选项。
在出现的对话框中,选择设计文件和优化选项,点击“确定”按钮。
Quartus II将对设计进行优化,并生成优化后的电路文件。
优化后的设计可以进行布局布线。
点击“工具”菜单,然后选择“物理编译器”选项。
在出现的对话框中,选择物理约束和布局布线选项,点击“确定”按钮。
Quartus II将根据物理约束对设计进行布局布线,并生成布局布线后的电路文件和报告。
布局布线完成后,我们可以进行时序分析。
点击“工具”菜单,然后选择“时序分析器”选项。
在出现的对话框中,选择设计文件和时钟约束,点击“确定”按钮。
6.3 Quartus II操作指南6.3.1简介Altera技术领先的Quartus II设计软件配合一系列可供客户选择的IP核,可使设计人员在开发和推出FPGA、CPLD和结构化ASIC设计的同时,获得无与伦比的设计性能、一流的易用性以及最短的市场推出时间。
这是设计人员首次将FPGA移植到结构化ASIC 中,能够对移植以后的性能和功耗进行准确的估算。
Quartus II软件支持VHDL和Verilog硬件描述语言(HDL)的设计输入、基于图形的设计输入方式以及集成系统级设计工具。
Quartus II软件可以将设计、综合、布局和布线以及系统的验证全部都整合到一个无缝的环境之中,其中还包括和第三方EDA工具的接口。
Quartus II的主要特性有:<1>基于模块的设计方法提供工作效率<2>更快集成IP<3>在设计周期的早期对I/0引脚进行分配和确认<4>存储器编译器<5>支持CPLD、FPGA和基于HardCopy 的ASIC<6>使用全新的命令行和脚本功能自动化设计流程<7>高级教程帮助深入了解Quartus II的功能特性。
Altera公司的Quartus II软件提供了可编程片上系统(SOPC)设计的一个综合开发环境,是进行SOPC设计的基础。
Quartus II集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真。
Quartus II设计软件根据设计者需要提供了一个完整的多平台开发环境,它包括整个FPGA和CPLD设计阶段的解决方案。
有关Quartus II的典型设计流程如图6-43所示。
1图6-43 Quartus II的典型设计流程此外,Quartus II软件为设计流程的每个阶段提供了Quartus II图形用户界面、EDA 工具界面和命令行界面。
计算机组成原理实验指导手册(Quartus II) 计算机组成原理实验指导书目录第一部分 Quartus II的操作 ........................................................................... ....... 1 第1章 Quartus II 简介 ........................................................................... .............. 1 1.1 Quartus II软件 ........................................................................... .............................. 1 1.2 Quartus II软件的设计流程 ........................................................................... ....... 1 1.3 Quartus II软件的用户界面 ........................................................................... ....... 3 第2章 Quartus II 2.1的安装 ........................................................................... ..... 6 2.1 安装软件 ........................................................................... ........................................ 6 2.2 安装license ...................................................................... .......................................... 6 第3章 Quartus II 2.1的使用 ........................................................................... ..... 8 3.1 图形用户界面 ........................................................................... ............................... 8 3.2 设计模式 ........................................................................... ........................................ 8 3.3 设计步骤 ........................................................................... ........................................ 8 3.3 原理图设计与编译 ........................................................................... ..................... 9 3.4 创建向量波形文件 ........................................................................... ................... 16 第4章设计结果下载............................................................................ .............. 21 4.1 安装下载电缆驱动程序 ..................................................................................... 21 4.2 器件的选择与引脚的锁定与下载 ................................................................... 28 4.3 实验箱的设置与I/O引脚 ........................................................................... ....... 31 第二部分实验内容............................................................................ .................. 46 实验1 Quartus Ⅱ的使用 ........................................................................... ........... 46 实验2 运算器组成实验............................................................................ ........... 47 实验3 半导体存储器原理实验...........................................................................50 实验4 数据通路的组成与故障分析实验 (51)- 1 -计算机组成原理实验指导书第一部分 Quartus II的操作第1章 Quartus II 简介1.1 Quartus II软件Quartus II软件是Altera公司的综合开发工具,它集成了Altera的FPGA/CPLD(复杂可编程逻辑器件Complex Programmable Logic Device,CPLD/现场可编程器件Field Programmable Gate Array,FPGA)开发流程中所涉及的所有工具和第三方接口。
第1章Quartus II 7.0的基本使用1.1 实验目的熟悉Quartus II 7.0软件的使用,熟悉硬件描述语言Verilog HDL。
1.2 实验设备硬件:PC机一台MagicSOPC教学实验开发平台一套软件:Quartus II7.01.3 实验内容本实验的内容是建立可用于控制LED亮/灭的简单Quartus II工程,要求点亮MagicSOPC 实验箱上的8个发光二极管,具体包括:●使用Quartus II 建立工程;●Quartus II工程设计;●设置编译选项并编译硬件系统;●下载硬件设计到目标FPGA;●观察LED的状态。
1.4 实验预习要求预习《EDA实验与实践》第3章3.1节的内容,熟悉使用Quartus II 软件从新建工程到下载程序的整个流程。
1.5 实验步骤1.5.1使用Quartus II建立工程(1)在Windows桌面上双击Quartus II 7.0的图标,启动Quartus II软件,软件界面如图1.1所示。
图1.1 Quartus II软件界面(2)在图1.1中选择File→New Project Wizard 来新建一项工程。
新建工程对话框如图1.2所示。
图1.2 新建工程向导说明对话框(3)在图1.2中单击Next按钮,进入如图1.3所示的对话框。
对话框中的第一项是指定工程的工作库文件夹;第二项是指定工程名,工程名可以取任何名字,也可以直接用顶层的文件的实体名为工程名(建议使用);第三项是指定顶层文件的实体名。
本例的工程的路径为F:\altera\ledtest,工程名与顶层文件的实体名同名为ledtest。
图1.3 新建工程路径、名称、顶层实体指定对话框(4)单击Next按钮,进入如图1.4所示的对话框。
由于这是新建的工程,所以暂无输入文件。
图1.4 新建工程添加文件对话框(5)单击Next按钮,进入如图1.5所示的对话框。
在对话框中指定目标器件,这里我们选择的是PowerSOPC-2C35核心板上的Cyclone II系列的EP2C35F672C8。
Quartus II简明操作指南在前面的实验里,我们所有的实验都是基于这样一个观点,即将一个数字系统划分成合适利用已有的中小规模数字集成电路的功能的模块,然后将这些集成电路通过外部引线连接起来。
现在开始我们将研究用可编程逻辑器件(PLD/FPGA)来进行数字系统设计。
可编程逻辑器件是一种大规模的集成电路,其内部预置了大量易于实现各种逻辑函数的结构,同时还有一些用来保持信息或控制连接的特殊结构,这些保持的信息或连接确定了器件实现的实际逻辑功能,当改变这些信息或连接时器件的功能也将随之改变。
可编程逻辑器件的设计过程和传统的中小规模数字电路设计也不一样,可编程数字系统,无论是CPLD 还是FPGA器件都需要利用软件工具来进行设计。
可编程数字系统设计总体上一般可以分为设计输入、项目处理、设计校验和器件编程这四个主要过程。
下面我们将一个简单的模60BCD计数器为例,说明可编程数字系统设计的基本流程、概念和方法,掌握Quartus II 软件的基本功能和操作,了解原理图输入方式的设计全过程。
一、设计项目输入设计输入是设计者对系统要实现的逻辑功能进行描述的过程。
设计输入有多种表达方式,本次我们主要学习图形输入法。
1.1 建立工程项目1.打开Quartus II,在File菜单中选择New Project Wizard项,将出现工程项目建立向导对话框。
2.点击“Next”,进入到相应的对话框,在最上面的文本输入框中输入项目所在的目录名(注意:不能用中文名,下同),在中间的文本输入框中输入项目名称,在最下面的文本输入框中输入最顶层模块的名称。
3.点击“Next”,进入到设计文件选择对话框,由于在本例中还没有任何设计文件,所以不选择任何文件。
4.点击“Next”,进入到器件选择对话框,在“Family”下拉菜单中选择“CycloneⅢ”,在“Available Devices”列表栏中选择“EP3C5E144C8”。
QuartusⅡ基本操作流程一、 打开QuartusⅡ软件并建立工程1、在Windows桌面上选择“开始”→“程序”→Altera→QuartusⅡ9.0,打开QuartusⅡ9.0软件,软件界面如图所示。
2、选择File→New Project Wizard 新建一项工程。
新建工程向导说明对话框如图所示。
3、单击Next进入下图所示对话框。
任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被QuartusⅡ默认为工作库(Work Library)。
注意文件夹不能用汉字,不要将文件夹设在安装目录中。
图中第一栏用于指定工程所在工作库文件夹;第二栏用于指定工程名;第三栏用于指定顶层文件的实体名。
本例工程的路径为E:\eda,工程名和顶层文件的实体名均为cntm12。
4、单击Next进入图示对话框。
由于是新建工程,暂无输入文件。
5、单击Next进入图示对话框。
在该对话框中指定目标器件,这里我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。
6、单击Next进入图示对话框。
本实验利用QuartusⅡ的集成环境进行开发,不使用任何EDA工具,因此这里不做任何改动。
7、单击Next进入图示对话框。
从该对话框中,可以看到工程文件配置信息报告。
单击Finish,完成新建工程的建立。
需要注意的是,建立工程后,还可以根据设计中的实践情况对工程进行重新设置,可选择Assignments→Settings进行设置。
二、 建立图形设计文件1、在QuartusⅡ主界面中,选择File→New打开如图所示的对话框。
2、在对话框中选择Block Diagram/Schematic File,单击OK打开图形文件编辑器,建立一个空的图形设计文件,默认名为Block1.bdf。
如图所示3、在图形编辑器窗口中的任意处双击,弹出添加符号(Symbol)对话框,如图所示。
实验一_QuartusII的使用引言:Quartus II是一款由美国Intel公司开发的FPGA设计软件,广泛应用于数字集成电路设计和原型验证。
本实验将介绍Quartus II的基本使用方法,包括项目创建、设计输入、约束设置、编译与仿真等。
一、环境准备二、项目创建1.启动Quartus II软件,选择"File" -> "New Project Wizard"创建新项目。
在弹出的对话框中,选择项目的存储位置和名称,并选择合适的目标设备和设计流程。
点击"Next"进入下一步。
2.在第二步中,选择项目的项目类型和是否要添加预定义的IP (Intellectual Property)核。
IP核是现成的、可重用的模块,可以简化设计。
根据自己的需求进行选择,点击"Next"。
3.在第三步中,选择顶层设计文件的命名,并点击"Next"。
5.在第五步中,对项目的设置进行回顾,并点击"Finish"完成项目创建。
三、设计输入1.双击项目中的顶层设计文件,打开Design Entry工具。
在Design Entry工具中,可以通过图形界面或者Verilog/VHDL语言进行设计输入。
a.如果选择使用图形界面,可以在左侧工具栏中选择需要的元件,然后在设计区域中拖拽放置,最终形成需要的电路结构。
b.如果选择使用Verilog/VHDL语言,可以在设计区域中输入相应的代码,然后进行语法检查。
2.在设计完成后,可以使用编译按钮对设计进行编译。
编译过程中,Quartus II会对设计进行分析、优化和进行布线等操作,生成逻辑网表。
四、约束设置1.双击项目中的顶层设计文件,打开Design Constraints工具。
在Design Constraints工具中,可以设置时钟频率、信号约束、引脚约束等。
第二章Quartus II的使用2.1 Quartus II概述Quartus II是Altera公司继MAX+PLUS II后,所提供的FPGA/CPLD开发集成环境,主要针对本公司新器件和大规模FPGA的开发。
Quartus II提供一个容易适应特定设计所需要的完整的多平台设计环境。
它不仅包括FPGA/CPLD设计所有阶段的解决方案,而且也提供可编程片上系统(SOPC)设计的综合性环境。
Quartus II除了保留有MAX+PLUS II的特色外,也可以利用第三方的综合工具,如Synopsys、NativeLink、仿真工具ModelSim等。
2.1.1 设计的主要环节Quartus II可以使设计者完成设计输入、分析与综合、仿真、布局布线、时序分析及编程下载等工作。
下图显示了使用Quartus II进行设计的各主要环节。
全编译图2.1.1 Quartus II进行设计的主要环节这几个环节分别介绍如下:1.设计输入设计输入包括图形输入和硬件描述语言(HDL)文本输入两大类型。
本次实验中主要用到其中的原理图输入和VHDL输入两种方式。
HDL设计方式是现今设计大规模数字集成电路的常用形式,除IEEE标准中VHDL与Verilog HDL两种形式外,还有各自FPGA厂家推出的专用语言,如Quartus II下的AHDL。
HDL语言描述在状态机、控制逻辑、总线功能方面较强;而原理图输入在顶层设计、数据通路逻辑等方面具有图形化强、功能明确等特点。
Quartus II支持层次化设计,可以在一个新的输入编辑环境中调用不同输入设计方式完成的模块,从而完成混合输入设计以发挥二者各自特色。
212.分析与综合在完成设计输入之后,即可对其进行分析与综合。
其中先进行语法的分析与校正,然后依据逻辑设计的描述和各种约束条件进行编译、优化、转换和综合。
最终获得门级电路甚至更底层的电路描述网表文件。
因此,综合就是将电路的高级语言(如行为描述)转换成低级的,可与FPGA/CPLD的基本结构相映射的网表文件或程序。
实验一:Quartus软件的基本操作
一、实验内容
1.熟悉Quartus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计)
2.用逻辑图和VHDL语言设计一个异或门。
二、实验环境
PC机、Quartus
三、实验原理
异或门(英语:Exclusive-OR gate,简称XOR gate,又称EOR gate、ExOR gate)是数字逻辑中逻辑异或的逻辑门。
有多个输入端、1个输出端,多输入异或门可由2输入异或门构成。
若两个输入的电平相异,则输出为高电平1;若两个输入的电平相同,则输出为低电平0。
亦即,如果两个输入不同,则异或门输出高电平。
四、实验过程
1. 建立工程;
2. 画出逻辑图:
3.VHDL程序:数据流描述:
波形图:。
实验一:(数据选择器)实验步骤:1、E盘下面建立一个文件夹mux21a名称;2、双击进入QUARTUSII软件使用环境;3、选择Flie—New Project Wizard4、点击NEXT,出现以下框图点右边第一个按钮选在你刚才建立的文件路径到刚才新建的文件夹mux21a(或其他字母符号)。
(注意:工程名要和实体名一致;工程文件夹路径不能有汉字)5.点击Next,出现下图对话框,按照下图选择芯片;点击两次Next,直到出现Finish6.选在Flie—New—VHDL Flie7.输入程序代码;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY mux21a ISPORT ( a,b,s:IN BIT;y:OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s='0' THEN y<=a;ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;8.输入完毕点SAVE,保存在工程文件夹mux21a内;9.点Processing—Start Compilation10.下面对话框没提示正确的话;11.点击Flie—New—other——Vector Waveform File 点ok;12.选择View—Utility Windons—Node Finder(或者直接点alt+1),在弹出的对话框里面Filter里面选择Pins:all,点击List,出现输入输出信号;选中输入输出信号,拖拽到Vector Waveform File里面,如下图;13.可以关闭Node Finder窗口;14、设置好波形输入信号;(注意:只能给输入波形赋值,输出波形需要仿真得出。
实验一 Quartus II基本操作一、实验目的1.了解Quartus II软件的功能。
2.初步掌握Quartus II的VHDL输入方法。
3.掌握Quartus II编译、功能仿真和时序仿真。
4.掌握Quartus II管脚分配、综合与实现、数据流下载方法。
二、实验内容本实验以8位全加器为例,在Quartus II软件平台上完成设计电路的VHDL文本输入,编辑,编译,仿真,关键分配和编程下载等操作。
下载芯片选择Altera公司的FLEX10K系列的EPF10K10LC84-3器件。
1. VHDL源程序的输入Quartus II环境下,执行“file”的“New Project Wizard”命令,为8位全加器建立设计项目。
项目名称为adder8;出现选择芯片对话框,我们选择FLEX10K系列的EPF10K10LC84-3器件作为仿真芯片;finish完成设置。
Quartus II环境下,执行“file”的“New”命令,在弹出的编辑文件类型对话框中,选择“VHDL File”,ok进入Quartus II文本编辑方式,在文本框中编辑输入8位全加器的VHDL 源程序。
在VHDL源程序中,A和B是两个8位二进制输入信号,CIN是低位进位输入信号,SUM是8位加数之和的输出信号,COUT是向高位进位的输出信号。
2. 设计文件存盘与编译adder8.vhd为文件名将二选一数据的VHDL源程序设计文件保存在工程目录中,*.vhd表示VHDL文本文件。
Quartus II环境下,执行“Processing”的“start Compilation”命令,或者在主窗口上直接单击“start Compilation”按钮,对adder8.vhd设计文件进行编译。
如果输入无语法错误的话,编译完成后的结果如下图所示:adder8所占用的EPF10K10LC84-3芯片宏单元的2%,占用引脚数为44%,没有用存储单元。
实验一 EDA软件的熟悉与使用一、实验目的1、熟悉EDA设计工具软件Quartus II软件的操作及应用。
2、通过一个简单的3—8译码器的设计巩固软件的应用。
二、实验原理3—8译码器真值表如表1.1所示。
三、实验仪器计算机四、实验内容参考3-8译码器实验的设计实例,按照设计流程完成新建项目文件、编译、仿真、分配引脚等操作,掌握采用Quartus II软件设计流程。
五、实验报告1.简要说明实验步骤。
2.写出实验用的VHDL源程序。
实验步骤:1、打开Quartus II集成开发环境,新建一个工程(file>new Project Wizard);1)选择工程的路径,将所建工程放到指定目录,不可以直接放到根目录下,应建立自己的文件夹;定义工程名和顶层模块名(芯片级设计为实体名),要求与工程名称相同。
2)添加已有文件(没有已有文件的直接跳过next)3)选择芯片型号4)选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后next5)工程建立完成(点finish)2、添加文件(file>new> VHDL file),新建完成之后要先保存。
3、编写程序并然后保存源文件;4、检查语法(点击工具栏的这个按钮(start Analysis & synthesis))点击确定完成语法检查5、(锁定引脚,点击工具栏的(pin planner))6、选择配置器件的工作方式:选择配置器件和编程方式:7、整体编译(工具栏的按钮(start Complilation))8、功能仿真(直接利用quratus进行功能仿真)1将仿真类型设置为功能仿真(setting>Simulator Settings>下拉>Function )2 建立一个波形文件:(new>Vector Waveform File ) 导入引脚(双击Name 下面空白区域>Node Finder>list>点击),接下来设置激励信号(单击>选择>Timing>Multiplied by 1)然后要先生成仿真需要的网表(工具栏processing>GenerateFunctional Simulation Netlist )接下来开始仿真(仿真前要将波形文件保存,点击工具栏开始仿真) 第九步:下载(点击(Programmer )。
3.1 应用 QuartusⅡ完成 LED 的驱动一、试验目的通过试验,是同学们能够逐步了解、生疏和把握FPGA 开发软件QuartusⅡ的使用方法及VHDL 语言的编程方法。
二、试验内容SmartSOPC 核心上有 8 个发光二极管LED1-LED8.在QuickSOPC 核心板上LED1-LED8 分别与 FPGA 芯片的第 50、53-55、176 和第47-49 引脚相连。
本试验的内容是建立可用把握LED 亮/灭的简洁硬件电路,要求点亮SmartSOPC 试验箱上的4个发光二极管〔LED1、LED3、LED5和LED7〕。
具体包括:(1)使用QuartusⅡ建立工程;(2)QuartusⅡ工程设计;(3)设置编译选项并编译硬件系统;(4)下载硬件设计到目标FPGA;(5)观看LED 状态。
三、试验原理FPGA 器件同单片机一样,为用户供给了很多灵敏独立的输入/输出 I/O 口。
FPGA 每个I/O 口可以配置为输入、输出、双向I/O、集电极开路和三态门等各种组态。
做输出口时,FPGA 的 I/O 口可以吸取最大为 24mA 的电流,可以直接驱动发光二极管LED 等器件。
所以只要正确安排并锁定引脚后,在相应的引脚上输出低电平“0”,就可实现点亮该发光二极管的功能。
四、试验步奏1、使用QuartusⅡ建立工程(1)翻开QuartusⅡ软件并建立工程①翻开QuartusⅡ软件,软件界面如图 1.1 所示。
图 1.1②选择File—New project wizard来建一项工程〔留意是建工程,不是New〕,如图1.2。
图 1.2③任何一项设计都是一项工程,必需首先为此工程建立一个放置与此工程相关的全部文件的文件夹,此文件夹将被QuartusⅡ默认为工作库。
一般来说,不同的设计工程最好放在不同的文件夹中,而同一工程的全部文件都必需放在同一文件夹中。
不要将文件夹设在计算机已有的安装名目中,更不要将工程文件直接放在安装名目中。
1.新建一个工程
2.输入工程路径、工程文件名和顶层实体名(就是你要做的那个目标电路实体),本例为fa
3.设定FPGA 可编程器件的类别和型号,分别ACEX1K 和EP1K100QC208-3
4.新建VHDL 源文件,本例为fa 全加器
5.编辑源程序并保存
6.点击工具栏上的红色箭头按钮,开始编译
7.四个编译进度条都满(蓝色),编译结束
8.分配管脚,目的是把你建的实体端口和实验箱上的输入开关及输出灯对应的I/O 管脚号建立对应关系(输入开关、输出灯和I/O 脚的对应关系请查看学生实验指导PDF 文件)
9.分别双击To 列和Location 列的下拉框,为所有的输入输出端口选择I/O 号
10.分配好后不要忘了重新编译源文件!
11.点击工具栏上的programmer 按钮,进入下载界面
12.如果硬件没有配置(LPT1和COM1,若要下载编译好的sof 文件设置成LPT1),则需要配置
13.在列表框中编译好的fa.sof 文件对应的那一行,勾选Program/Configure
14.点start 开始下载(注意在下载前检查LPT1下载线是否插到实验箱上的JTAG 插头上,要插紧,实验箱电源是否打开)
看下载进度条,成功后,即可在实验箱做实验了,调节输入开关(实验箱最下面中间的SD0-SD15开关,按你管脚分配的情况来使用),从000-111,看全加器fa 的s 和co 对应的灯(实验箱上排中间偏左的A0-A15灯,按你管脚分配的情况来使用)逻辑是否正确。
15.另外,如果在实验中要修改顶层实体或修改FPGA 器件的类型和型号,点击工具栏上的settings 按钮,进入上图界面设置。
仿真步骤:
1.新建一个仿真波形文件
2. 双击上图Name 下的虚线框,弹出对话框
3. 按Node Finder 按钮
4.按List 按钮
5.按>>按钮,OK
6.拖动a 行一段(蓝色),点击左边工具栏的0或1,随便设置a 的值,用同样方法设置其他输入的值,给a,b,ci 输入8种组合
7.保存成fa.vwf 文件
8.产生网表
9.设置仿真
10.设置仿真类型为Functional ,输入为刚才建的那个fa.vwf 文件,OK
11.运行仿真
12.可以看到输入输出的逻辑关系,检查是否正确。