eda仿真实验报告
- 格式:docx
- 大小:3.99 KB
- 文档页数:3
eda技术实验报告EDA技术实验报告引言EDA(Electronic Design Automation)技术是电子设计自动化的缩写,是现代电子设计中不可或缺的一环。
它通过计算机辅助设计,提高了电路设计的效率和质量。
本文将介绍EDA技术的背景、应用和实验结果。
背景随着电子产品的不断发展,电路设计变得越来越复杂,传统的手工设计已经无法满足需求。
EDA技术的出现填补了这一空白。
它利用计算机的强大计算能力和算法,帮助设计师完成电路设计、仿真、布局和验证等工作。
应用1. 电路设计EDA技术的核心应用是电路设计。
通过EDA工具,设计师可以绘制电路图、选择器件、进行参数设置等。
EDA工具还可以自动进行电路优化,提高电路性能。
2. 仿真验证在电路设计完成后,需要对电路进行仿真验证。
EDA技术可以提供准确的仿真结果,帮助设计师分析电路的性能和稳定性。
仿真验证可以帮助设计师发现潜在的问题,提前解决。
3. 物理布局物理布局是将电路逻辑转化为实际的物理结构。
EDA技术可以自动进行物理布局,优化电路的面积和功耗。
物理布局的好坏直接影响到电路的性能和可靠性。
4. 电路验证在电路设计完成后,需要进行电路验证,确保电路的正确性和可靠性。
EDA技术可以自动进行电路验证,提供准确的验证结果。
电路验证可以帮助设计师发现设计缺陷,提高电路的可靠性。
实验设计在本次实验中,我们选择了一款EDA工具进行实验。
首先,我们设计了一个简单的数字电路,包括与门和或门。
然后,利用EDA工具进行电路仿真和优化。
最后,对电路进行物理布局和验证。
实验结果通过实验,我们得到了以下结果:1. 仿真结果显示,设计的数字电路在不同输入条件下均能正确输出结果,验证了电路的正确性。
2. 通过优化算法,我们成功提高了电路的性能,减少了功耗和面积。
3. 物理布局结果显示,电路的布局紧凑,满足了设计要求。
4. 电路验证结果显示,电路的功能和性能均符合设计要求,验证了电路的可靠性。
EDA 设计(Ⅱ)学号:姓名:院系:指导:谭雪琴时间:2011年4月8日目录1.引言 (03)2.正文 (03)2.1.设计要求 (03)2.2.整体电路工作原理 (04)2.3.子模块设计原理与仿真 (04)2.3.1.脉冲发生电路 (04)2.3.2.计时电路 (07)2.3.3.译码显示电路 (10)2.3.4.报时电路 (15)2.3.5.校时、保持以及清零电路 (16)2.3.6.总功能电路连接 (20)2.4.整体电路下载 (21)2.5.扩展闹铃功能设计 (21)2.5.1.闹铃时间设定功能 (21)2.5.2.闹铃显示功能 (22)2.5.3.闹铃响铃功能 (23)2.5.4.闹铃总电路连接 (24)3.结论 (25)4.致谢 (26)5.参考资料 (26)多功能数字钟设计(南京理工大学)摘要:本文详细介绍了多功能数字钟的工作原理及设计过程。
首先利用quartus2软件,采用模块化设计方法,分别设计分频器、模计数器、动态显示电路、清零校时电路和报时电路等功能模块,然后观察仿真波形,确认功能实现后进行封装与调用。
最后将各功能模块整合起来构成整体电路,仿真和调试通过后下载到EDA实验箱,观察实际运行结果。
此外,本文还描述了附加闹铃功能的设计过程,并记述了实验过程中出现的一些问题及解决方案,以及对这次设计的一些经验教训的反思。
关键词:数字钟;校时报时;闹铃;动态显示;消颤;仿真;下载1、引言该数字钟功能丰富、操作简单,可使人们方便的获取时间信息及相关提醒,在实际生活中广泛应用,具有显著的实用价值。
其构成虽较简单,但融合了组合逻辑电路和时序逻辑电路,包括了分频器、计数器、数据选择器、编码器译码器以及锁存器等几乎所有数字逻辑电路的所学内容,是理论联系实际,提高知识技能的绝佳途径。
2、正文2.1 设计要求利用quartus2软件设计一个数字钟,并下载到EDA实验系统中。
要求可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时(当时钟计到59’53”时开始报时,在59’53”, 59’55”,59’57”时报时频率为512HZ,59’59”时报时频率为1KHZ)等功能。
EDA(一)数字部分电子线路仿真实验报告
实验名称:编码器译码器的仿真
姓名:杨思远
学号:110405264
班级:电气2班
时间:2010.5.17
南京理工大学紫金学院电光系
一、实验目的(四号+黑体)
1)熟悉Multisim7仿真软件数电部分的使用
2)掌握编码器、译码器和数码管逻辑功能和使用方法
3)能够利用编码器、译码器设计简单键盘编码显示电路
二、实验原理
1、用Multisim7软件实现一位全加器,验证正确性。
并将其设计成子电路,分别用字发生器,逻辑转换仪验证,并用逻辑分析仪分析。
2、用74147设计简单键盘编码电路,通过显示译码器实现数字码显示;
3、利用74147、7447和七段显示数码管设计简单键盘编码显示电路。
三、实验内容
(格式同上,5张图:1、全加器2、字信号发生器+逻辑转换仪+全加器3、逻辑分析仪+全加器4、74147键盘电路5、74147+7447键盘电路)
四、小结与体会
通过此次实验,我认识到了平时学习中所没有注意到得问题,并努力的解决了。
明白了“纸上得来终觉浅”,经过实践才能检验出学习的知识是否掌握。
无论如何,在今后的学习生活中,我将继续努力。
实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。
实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。
2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。
eda实验报告
1. 实验目的
通过本次实验,了解EDA(Electronic Design Automation)的基本概念和应用模式,并通过实际操作掌握EDA工具的使用方法和流程。
2. 实验原理
EDA是电子设计自动化的缩写,是指通过计算机技术来实现电子系统设计的各个环节的自动化。
常用的EDA工具有电路仿真、电路布局、原理图设计、印刷电路板设计等。
3. 实验步骤
3.1 电路仿真
首先,我们需打开EDA工具,并导入所需的仿真器和电路元件库。
其次,我们需绘制电路图并进行仿真,根据仿真结果进一步分析和改进电路设计。
3.2 电路布局
在电路设计完成后,我们需进行电路布局,以便更精确地计算
电路性能和参数。
在布局过程中,我们需根据电路设计需求进行
元件排布,并考虑布局紧凑性和功耗等因素。
3.3 原理图设计
电路图设计是EDA工具中非常重要的一个环节,它可以帮助
我们全面了解电路设计的各个细节,确定电路元件的类型和参数,以及进一步优化电路性能。
3.4 印刷电路板设计
在进行电路仿真、布局、原理图设计后,我们需将电路设计转
化为印刷电路板(PCB)的形式。
在进行印刷电路板设计前,我
们需考虑各个细节,在选择印刷方式、器件布局、线路距离、阻
抗匹配等方面进行优化和调整。
4. 实验结论
通过本次实验,我深刻认识到EDA工具在电子设计中的应用
和重要性,并掌握了EDA工具的基本操作方法和流程。
此外,我
了解了EDA工具在电子设计和生产中的优势和局限性,对于今后
电子设计工作的开展和优化有很大的指导意义。
EDA实验报告单极放大电路的设计和仿真一、实验目的本实验旨在通过设计和仿真单极放大电路,掌握电路设计及仿真的方法和技巧,了解单极放大电路的工作原理以及参数的计算方法。
二、实验设备和材料1.EDA仿真软件2.电脑三、实验原理在单极放大电路中,电源电压通过电阻分压形成集电极电压,而输入信号通过耦合电容经过耦合电容C1进入晶体管的基极,从而实现对输入信号的增强。
四、实验步骤及数据记录1.确定电源电压:根据实验要求,选择适当的电源电压。
2.选择晶体三极管型号:根据实验要求和设计要求,选择适合的晶体三极管型号。
3.计算电阻值:根据单极放大电路的工作原理,计算电阻的取值范围,并选择合适的电阻值。
4.设计电路连接方式:将电源、电阻、晶体三极管按照电路原理进行连接并设计电路图。
5.仿真电路:使用EDA仿真软件,将设计好的电路连接到仿真软件中。
6.设置仿真参数:设置仿真参数,包括电源电压、工作频率等。
7.运行仿真:运行仿真程序,获取仿真结果。
8.分析结果:根据仿真结果,分析电路的工作情况,包括输出电压增益、输入输出阻抗等。
9.修改参数:根据分析结果,对电路参数进行调整,重新进行仿真。
10.重复步骤6-9,直到仿真结果满足设计要求。
五、实验结果分析通过仿真,得到了单极放大电路的工作情况如下:1.输出电压增益:根据仿真结果,计算得到了单极放大电路的输出电压增益为X。
2.输入输出阻抗:根据仿真结果,计算得到了单极放大电路的输入阻抗为Y,输出阻抗为Z。
3.波形分析:通过仿真软件,获取到了输入信号和输出信号的波形,并进行比较分析。
六、实验结论通过设计和仿真单极放大电路,了解了电路设计及仿真的方法和技巧。
掌握了单极放大电路的工作原理以及参数的计算方法,并通过仿真分析得到了相关结果。
EDA设计(Ⅰ)实验报告院系:电子工程与光电技术学院专业:电子信息工程学号:914104姓名:指导老师:宗志园目录实验一单级放大电路的设计与仿真 (2)一、实验目的 (2)二、实验要求 (2)三、实验原理图 (3)四、三极管参数测试 (3)五、电路静态工作点测试 (6)六、电路动态参数测试 (8)七、频率响应测试 (10)八、数据表格 (10)九、理论分析 (11)十、实验分析 (11)实验二差动放大电路的设计与仿真 (12)一、实验目的 (12)二、实验要求 (12)三、实验原理图 (12)四、三极管参数测试 (13)五、电路工作测试 (18)六、电路增益测试 (18)七、数据表格 (21)八、理论分析 (22)九、实验分析 (22)实验三负反馈放大电路的设计与仿真 (23)一、实验目的 (23)二、实验要求 (23)三、实验原理图 (24)四、电路指标分析 (25)五、电路幅频特性和相频特性 (30)六、电路的最大不失真电压 (31)七、数据表格 (32)八、误差分析 (33)九、实验分析 (33)实验四阶梯波发生器电路的设计 (34)一、实验目的 (34)二、实验要求 (34)三、实验原理图 (35)四、实验原理简介 (35)五、电路分级调试步骤 (36)六、误差分析 (40)七、电路调整方法 (40)八、实验分析 (40)实验一单级放大电路的设计与仿真一、实验目的(1)设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz,峰值5mV ,负载电阻5.1kΩ,电压增益大于70.(2)调节电路静态工作点,观察电路出现饱和失真、截止失真和正常放大的输出信号波形,并测试对应的静态工作点值.(3)在正常放大状态下测试:1.三极管的输入、输出特性曲线和β、r be、r ce值;2.电路的输入电阻、输出电阻和电压增益;3.电路的频率响应曲线和f L、f H值.二、实验要求(1)给出单级放大电路原理图.(2)实验过程中各个参数的电路仿真结果:1.给出测试三极管输入、输出特性曲线和β、r be、r ce值的仿真图;2.给出电路饱和失真、截止失真和不失真的输出信号波形图;3.给出测量输入电阻、输出电阻和电压增益的仿真图;4.给出电路的幅频和相频特性曲线(所有测试图中要有相关仪表或标尺数据).(3)给出相关仿真测试结果.(4)理论计算电路的输入电阻、输出电阻和电压增益,并和测试值做比较,分析误差来源.三、实验原理图图1-1 实验原理图四、三极管参数测试图1-2 电路静态工作点(1)输入特性图1-3 测量输入特性曲线电路图图1-4 输入特性曲线(2)输出特性图1-5 测量输出特性曲线电路图图1-6输出特性曲线(3)根据图1-4及公式i V rb be be ∆∆= , 可计算出r be = . (4)根据图1-6及公式V r c CE ce ∆∆= ,可计算出r ce = . (5)根据图1-2.五、电路静态工作点测试(1)饱和失真图1-7饱和失真波形图1-8饱和失真数据(2)截止失真图1-9截止失真波形及其数据(3)正常放大黄色曲线为输入波形,蓝色曲线为输出波形.图1-10正常放大波形六、电路动态参数测试(1)Av图1-11 Av测量电路计算,得到.(2)Ri图1-12 Ri测量电路计算,得到.(3)Ro图1-13 Ro测量电路计算,得到. 七、频率响应测试图1-14 频率响应测试八、数据表格表1-1 静态工作点调试数据表1-2 电路正常工作数据九、理论分析(1)Ri理论值:.误差:.(2)Ro理论值:.误差:.(2)Av理论值:.误差:.十、实验分析本实验是EDA的第一项实验,在老师的指导下我初步了解了电路仿真的基础知识和Multisim软件的使用方法,并完成了第一个电路:单机放大电路的设计与参数测量。
贵州大学电路EDA电路技术课程考核报告08级计算机系统维护专业班级:08级计维班姓名:**********学号:PZ082014111一、实验名称:仿真二-十译码器的结果二、实验目的:1.学会用OrCAD仿真电路。
2.用OrCAD仿真二-十进制译码器。
三、实验要求:1.熟悉用OrCAD仿真电路。
2.了解二-十译码器的结构和逻辑功能。
3.用OrCAD仿真二-十译码器四、实验原理:二-十进制译码器是由十个与非门和八个非门构成的电路,它的功能是将BCD码的10个代码译成10 个对应的高、低电平输出信号,对BCD代码以外的伪码1010——1111 这几个代码输出均无低电平信号产生,对这几个代码构成拒绝功能。
4位输入代码共有十六个组合状态,其中六个没有与其对应的输出端,这六个代码称为伪码。
伪码输入时,十个输出端处于无效状态。
若输出端是低电平有效,则此时输出均为高电平。
五.实验过程:1.打开Orcad,建立工程文件命名并保存:打开文件:3.画电路图。
(1)提取元件、(2)画图、CLK DSTM3OFFTIME = 4mS ONTIME = 4mS DELAY =STARTVAL = 0OPPVAL = 1Y8U35A744061245Y5Y2U16A74ALS100412U37A744061245A1U26A744061245U21A 74ALS100412U23A74ALS100412A0Y9U19A74ALS100412A3U28A744061245Y0Y6CLK DSTM1OFFTIME = 1mS ONTIME = 1mS DELAY =STARTVAL = 0OPPVAL = 1U27A744061245U38A744061245CLK DSTM2OFFTIME = 2mS ONTIME = 2mS DELAY =STARTVAL = 0OPPVAL = 1U17A74ALS100412U29A744061245Y1U20A 74ALS100412U18A74ALS100412CLK DSTM4OFFTIME = 8mS ONTIME = 8mS DELAY =STARTVAL = 0OPPVAL = 1U24A744061245A2Y3Y7Y4U36A744061245U30A744061245U22A74ALS100412(3)设置输入信号、A0输入端的OFFTIME与ONTIME设为1ms,使它能以0101010101010101输入,A1输入端的OFFTIME与ONTIME设为2ms,使它以0011001100110011输入,而A2输入端的OFFTIME与ONTIME 设为4ms,能以0000111100001111,同理A3输入端的OFFTIME与ONTIME设为8ms,使它能以000000001111111输入,这样才能保证输入端能以BCD码输入。
计算机学院计算机科学与技术专业1班____组、学号姓名协作者______________ 教师评定_________________实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________1、熟悉EDA工具的使用;仿真基本门电路。
2、仿真组合逻辑电路。
3、仿真时序逻辑电路。
4、基本门电路、组合电路和时序电路的程序烧录及验证。
5、数字逻辑综合设计仿真及验证。
实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。
二、实验环境Libero仿真软件。
三、实验内容1、掌握Libero软件的使用方法。
2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。
)4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个....的综合结果,以及相应的仿真结果。
四、实验结果和数据处理1、所有模块及测试平台代码清单..(完整word版)EDA实验报告//74HC00代码-与非module HC00(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A&B);//与非endmodule//74HC00测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;(完整word版)EDA实验报告endendmodule//74HC02代码-或非module HC02(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A|B);//或非endmodule//74HC02测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC04代码-非module HC04(A,Y);input [4:1]A;output [4:1]Y;assign Y=~A;endmodule//74HC04测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC08代码-与module HC08(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A&B;endmodule//74HC08测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegin(完整word版)EDA实验报告a=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC32代码-或module HC32(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A|B;endmodule//74HC32测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;(完整word版)EDA实验报告HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC86代码-异或module HC86(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A^B;endmodule//74HC86测试平台代码`timescale 1ns/1ns(完整word 版)EDA 实验报告module testbench(); reg [4:1] a,b; wire [4:1] y;HC00 u1(a,b,y);initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1;a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1;#10 b=b<<1;endendmodule2、第一次仿真结果(任选一个....门,请注明,......插入截图,.....下同..)。
海南大学EDA实验报告学院:信息科学与技术学院专业班级:09理科实验班课程:EDA任课教师:***姓名:***学号:**************实验一 MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
4位全加器设计一个4位全加器可以由4个1位全加器构成,如图1.1所示,1位的全加器串行联接可以实现4位的二进制全加器。
图1.1 4位全加器电路原理图1位全加器可以由两个半加器和一个或门构成,如图1.2所示。
图1.2 全加器电路原理图1位半加器可以由与、或、非等基本门构成,如图1.3所示。
图1.3 半加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。
四、实验步骤1、如图1.3所示,利用MAX-plusⅡ中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。
注意:编译之前必须将文件设为当前文件。
2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。
3、再建立一个更高得原理图设计层次,如图1.1所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。
五、实验报告要求:详细描述4位全加器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出加法器的延时情况;最后给出硬件测试的流程和结果。
1)半加器图半加器仿真图2)全加器图全加器仿真图3)四位全加器仿真图实验二十进制计数器一、实验目的学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。
EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。
二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。
通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。
2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。
常见的组合逻辑电路有加法器、编码器、译码器等。
3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。
常见的时序逻辑电路有计数器、寄存器等。
四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。
2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。
3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。
五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。
(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。
(3)对设计进行编译,检查是否存在语法错误。
(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。
2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。
(2)编写测试代码,对计数器进行仿真验证。
(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。
3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。
(2)进行编译和仿真,确保译码器的功能正确。
(3)将译码器与计数器连接起来,实现数码管的动态显示。
EDA技术及其应用实训报告1、实训目的1.1实训目的(1)掌握EDA技术及开放流程。
(2)掌握Multisim的使用方法和仿真操作。
(3)能够用Multisim软件进行搭建电路原理图,并对电路进行仿真。
(4)熟练运用Multisim软件并掌握其界面模块的功能。
(5)理解并掌握EDA技术在电路以及模电、数字电路设计中的应用。
1.2 实训要求(1)利用Multisim仿真L、C串联谐振电路,并用波特图仪测定频率特性。
(2)利用Multisim仿真三相三线制Y形非对称电路,并按要求分析。
(3)利用Multisim仿真模拟电路,并按要求进行分析。
(4)利用Multisim仿真数字电路,并按要求进行分析。
2、实验内容2.1 模拟电路部分要求:单管共射极分压式放大电路1、分析静态工作点(直流分析)2、电压放大倍数、输入电阻、输出电阻(交流分析)解:①电路截图如下:② 电路示波器及电压表显示(截图)如下:由上图波形数据可知:实测数据7.76-438.4573.340-=≈UA③ 原电路直流通路如下:测量值如下:B U=C I=CE U④ 理论值计算◆ 静态工作点的分析Vk k kU R R R U CC b b b B 73.212511515212≈+=+=mA R U U I I e BE B E C 135.11000246.073.2=⨯-=-=≈()()VR R I U R I R I U U e C C CC e E C C CC CE 94.321.5135.112=+⨯-=+-=--=◆ 三极管的输入电阻1208.882uAm 073.1==≈A I I BQ CQ β()Ω=⨯+=++≈K I r r EQ bb be 071.3135.126121300261'β◆ 该放大电路的各项交流参数分别为Ω=≈Ω==Ω===⨯-=-=K R r K R R r r R R R r R A C O b b be i L C L be L u 1.538.2////k 2//87-071.3212021''β⑤ 经第三、第四步骤的比较,测量值与理论计算值存在一定的误差,差异范围很小,说明理论与实测相对来说是符合一致的。
电子工程设计仿真实验报告一、实验目的本次电子工程设计仿真实验的主要目的是通过运用专业的电子设计自动化(EDA)软件,对特定的电子电路进行设计、仿真和分析,以深入理解电子电路的工作原理和性能特点,提高电子工程设计的能力和水平。
二、实验设备及软件1、计算机:配置满足 EDA 软件运行要求的个人计算机。
2、 EDA 软件:本次实验使用的是软件名称软件,其功能强大,涵盖了电路设计、仿真分析、版图绘制等多个环节。
三、实验内容1、数字电路设计与仿真设计一个简单的计数器电路,要求能够实现从 0 到 9 的计数,并通过数码管显示。
运用 EDA 软件进行电路原理图绘制,设置元件参数,完成电路连接。
进行功能仿真,观察计数器的输出结果是否符合预期。
2、模拟电路设计与仿真设计一个放大器电路,给定输入信号的幅度和频率范围,要求放大器实现一定的增益和带宽。
利用软件中的模拟分析工具,如交流分析、直流分析等,对放大器的性能进行评估。
3、电路板设计根据已完成的电路设计,进行电路板的布局和布线。
考虑元件的摆放位置、走线规则、电磁兼容性等因素,优化电路板设计。
四、实验步骤1、数字电路设计打开 EDA 软件,创建新的项目。
在元件库中选择所需的数字元件,如计数器芯片、数码管、逻辑门等。
按照计数器的逻辑功能,连接各个元件,绘制电路原理图。
设置计数器芯片的计数模式和初始值,以及数码管的驱动方式。
运行功能仿真,输入时钟信号,观察数码管的显示结果。
2、模拟电路设计同样在 EDA 软件中创建新项目,选择模拟元件库。
选取放大器芯片、电阻、电容等元件,构建放大器电路。
设置输入信号的参数,包括幅度和频率。
进行直流工作点分析,确保电路处于正常工作状态。
执行交流分析,查看放大器的增益和带宽特性。
3、电路板设计将完成的电路原理图导入到电路板设计模块。
放置元件,根据电路的功能和信号流向,合理安排元件的位置。
进行布线,遵循布线规则,尽量减少走线长度和交叉,以降低信号干扰。
EDA仿真实验报告姓名:学号:班级:一.实验目的1.了解EDA技术的发展、应用。
2.学习Multisim的使用。
二.实验内容1.与非门实现四舍五入2.用74LS138和必要的门电路设计一个表决电路3.用74LS85设计四位数值比较器三.实验软件与环境1.EDA技术EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。
2.EDA的应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。
主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。
3.MultisimMultisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。
本实验使用了NI Multisim 14.0 软件。
四.实验内容与步骤1.与非门实现四舍五入电路(只能用与非门)真值表:逻辑表达式:F=m(5,6,7,8,9)2.用74LS138和必要的门电路设计一个表决电路真值表:F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。
3.用74LS85设计四位数值比较器电路方面注意74LS85正确使用,控制端要接A=B以便使两个四位数从高位开始比较,如果高位相等再比较次高位,以此类推。
五.实验结果1.与非门实现四舍五入电路(只能用与非门)一共有三个图,分别是输入0(四舍),输入9(五入)和无效输入。
基于eda的实训心得_eda实训报告怎么写(精选17篇)基于eda的实训心得_eda实训报告怎么写篇1不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。
我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。
虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。
初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。
当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。
实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。
它确实很方便实用。
虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。
电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。
实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。
写到这,我想起前几天一位留学回国的姐说过的话:“你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习”。
之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。
大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。
电控学院EDA电路仿真院(系):电气与控制工程学院专业班级: 10级测控1班姓名:张坡坡学号: 10060701272013年 1月 17日直流仿真11.直流电路如图所示,试求节点电压V(2)。
R2I 1I 2 25A I 350A程序:**** 01/15/13 14:06:40 ************** PSpice Lite (Mar 2000)***************** 1006070127 **** CIRCUIT DESCRIPTION ****************************************************************************** R1 1 2 6R2 2 3 6R3 3 4 6R4 4 5 6R5 5 0 6R6 1 0 6IS1 1 0 DC 25IS2 4 5 DC 25IS3 3 4 DC 50.DC IS1 0 25 25.PRINT DC V(2).PLOT DC V(2).PROBE.OP.END**** 01/15/13 14:06:40 ************** PSpice Lite (Mar 2000)***************** 1006070127 **** DC TRANSFER CURVES TEMPERATURE = 27.000 DEGC******************************************************************************IS1 V(2)0.000E+00 -1.500E+022.500E+01 -2.500E+02**** 01/15/13 14:06:40 ************** PSpice Lite (Mar 2000)*****************1006070127**** DC TRANSFER CURVES TEMPERATURE = 27.000 DEGC******************************************************************************IS1 V(2)(*)---------- -2.5000E+02 -2.0000E+02 -1.5000E+02 -1.0000E+02-5.0000E+01_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __ _ _0.000E+00 -1.500E+02 . . * . .2.500E+01 -2.500E+02* . . . .- - - - - - - - - - - - - - - - - - - - - - - -- - -**** 01/15/13 14:06:40 ************** PSpice Lite (Mar 2000)*****************1006070127**** SMALL SIGNAL BIAS SOLUTION TEMPERATURE = 27.000 DEGC******************************************************************************NODE VOLTAGE NODE VOLTAGE NODE VOLTAGE NODE VOLTAGE( 1) -200.0000 ( 2) -250.0000 ( 3) -300.0000 ( 4) -50.0000( 5) 50.0000VOLTAGE SOURCE CURRENTSNAME CURRENTTOTAL POWER DISSIPATION 0.00E+00 WATTS**** 01/15/13 14:06:40 ************** PSpice Lite (Mar 2000) *****************1006070127**** OPERATING POINT INFORMATION TEMPERATURE = 27.000 DEG C******************************************************************** **********JOB CONCLUDEDTOTAL JOB TIME 0.00运行图:2. 电路如图所示,试验证基尔霍夫电流、电压定律。
EDA仿真实验报告本次实验主要是基于EDA工具完成的仿真实验,主要是通过Multisim 14.0这一EDA 工具,设计和仿真了基于电容的RC低通滤波器电路。
下面就本次实验的过程和结果进行具体的介绍。
1. 实验目的本次实验的主要目的如下:1. 理解RC低通滤波器的基本原理;2. 通过Multisim 14.0这一EDA工具,完成RC低通滤波器电路的设计和仿真;3. 分析RC低通滤波器对信号的频率响应特性和滤波效果。
2. 实验原理RC低通滤波器是一种常用的模拟滤波器,它的基本原理是利用电容和电阻构成电路来对输入信号进行滤波。
在RC电路中,当输入信号频率较低时,电容器的充放电过程比较缓慢,电容器上的电压基本上可以维持在稳定状态;但当输入信号频率较高时,电容器的充放电过程加速,电容器上的电压就不能完全跟随输入信号的变化,从而实现对高频信号的滤波。
3. 装置和实验步骤1. 1个7400、1个7412、1个74138、1个74139、1个LED;2. 3个220欧姆电阻、2个1K欧姆电阻、1个10K欧姆电阻、2个10UF电容、1个2N2222晶体管。
1. 打开Multisim 14.0软件并创建新的电路文件;2. 将一个电压源V2接到输入端口,并将其电压设置为5V;3. 通过工具栏上的元件库中的电阻和电容元件,构建一个RC低通滤波器电路,其中电阻的值为1K欧姆,电容的值为10UF;4. 在输出端口添加一个示波器元件并将其连接到电路的输出端口;5. 单击“仿真”按钮,然后选择“时间域示波器”以查看电路的时间响应;6. 将示波器元件上的“点选”功能切换到“频域响应”,然后单击“仿真”按钮以观察电路的频率响应。
4. 结果分析通过以上实验步骤,我们完成了一个简单的RC低通滤波器电路的设计和仿真,下面来分析一下实验结果。
4.1 时间响应我们可以看到,在电路输入端口输入一个简单脉冲信号后,电路的输出会逐渐达到稳定值。
eda仿真实验报告
EDA仿真实验报告
一、引言
EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。
在现代电子设计中,EDA仿真是
不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。
本篇报告将介绍我在EDA仿真实验中的经验和收获。
二、实验背景
本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于
将两个4位二进制数相加。
通过仿真,我们可以验证电路设计的正确性,并观
察其在不同输入情况下的输出结果。
三、实验步骤
1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。
在设计
过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。
2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。
在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了
一个仿真项目。
3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的
仿真测试向量。
这些测试向量应该覆盖了电路的所有可能输入情况,以验证电
路的正确性。
4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。
通过加
载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是
否符合预期。
5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。
通过对比
仿真输出和预期结果,可以判断电路设计的正确性。
如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。
四、实验结果与讨论
在本次实验中,我成功完成了4位加法器的仿真。
通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。
无论是正常情况下的加法运算,还
是特殊情况下的进位和溢出,电路都能够正确地输出结果。
在实验过程中,我还发现了一些有趣的现象。
例如,在输入两个相同的4位二
进制数时,电路的输出结果与输入完全一致。
这说明电路在相同输入情况下,
没有发生任何错误。
此外,当输入的两个4位二进制数都为全1时,电路的输
出结果为一个5位二进制数。
这是因为在这种情况下,电路发生了进位和溢出。
五、实验总结
通过本次EDA仿真实验,我深入了解了EDA仿真工具的使用方法,掌握了仿
真环境的搭建和仿真测试向量的生成技巧。
同时,我也加深了对数字电路设计
原理的理解,并通过实际操作验证了电路设计的正确性。
在未来的学习和工作中,我将继续学习和探索EDA仿真的更多应用,提高自己
的电路设计和仿真能力。
我相信,通过不断的实践和学习,我能够在电子设计
领域取得更多的成果。
六、参考文献
[1] Xilinx ISE Design Suite User Guide
[2] 电子设计自动化(EDA)技术与应用,王勇,2010
[3] Digital Design and Computer Architecture, David Harris, 2012
[4] Introduction to Electronic Design Automation, Synopsys, Inc., 2016
[5] Electronic Design Automation for Integrated Circuits Handbook, Lavagno L., 2006
(注:本篇文章仅为模拟示例,内容仅供参考,实验报告应根据实际情况进行撰写。
)。